Dr.-Ing. Thomas Wild

Akad. Direktor

Technical University of Munich
TUM School of Computation, Information and Technology
Chair of Integrated Systems
Arcisstr. 21
80333 Munich
Germany

Phone: +49.89.289.23867
Fax: +49.89.289.28323
Building: N1 (Theresienstr. 90)
Room: N2136
Email: thomas.wild@tum.de

Teaching

Research Interests

  • Manycore system on chip (SoC) architectures
  • Network processor (NPU) architectures
  • On-chip communication architectures, networks on chip (NoC)
  • System level design methodologies
  • Design space exploration

Other Responsibilities

I am also EUROPRACTICE representative of TU München (city campus). EUROPRACTICE is a European initiative to stimulate the wider exploitation of state-of-the-art microelectronics technologies. Via the EUROPRACTICE software service, commercial EDA tools are made available for teaching and research at a university-compatible price. More information can be found here.

Prospective participants from the TU München city campus should get into contact with me. (Please note, the TUM Garching campus has a separate EUROPRACTICE membership, which is administered at the Physics Department.)

Publications

2024

  • Florian Maurer, Thomas Wild, Andreas Herkersdorf: Experiencing Self-Aware MPSoC Run-Time Optimization with Autonomous Bots. SelPhyS 2024, 2024 more… BibTeX
  • Klajd Zyla, Marco Liess, Thomas Wild, Andreas Herkersdorf: FlexRoute: A Fast, Flexible and Priority-Aware Packet-Processing Design. 32nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP 2024), 2024 more… BibTeX Full text ( DOI )

2023

  • Anmol Prakash Surhonne, Florian Maurer, Thomas Wild, Andreas Herkersdorf: LCT-TL: Learning Classifier Table (LCT) with Transfer Learning for run-time SoC performance-power optimization. 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2023), 2023 more… BibTeX
  • Anmol Prakash Surhonne, Florian Maurer, Thomas Wild, Andreas Herkersdorf: LCT-DER: Learning Classifier Table with Dynamic-sized Experience Replay for run-time SoC performance-power optimization. The Genetic and Evolutionary Computation Conference (GECCO), 2023 more… BibTeX Full text ( DOI )
  • Franz Biersack, Kilian Holzinger, Henning Stubbe, Thomas Wild, Georg Carle, Andreas Herkersdorf: Priority-aware Inter-Server Receive Side Scaling. 31st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, 2023 more… BibTeX Full text ( DOI )
  • Klajd Zyla, Florian Maurer, Thomas Wild, Andreas Herkersdorf: CoLeCTs: Cooperative Learning Classifier Tables for Resource Management in MPSoCs. 36th GI/ITG International Conference on Architecture of Computing Systems, 2023 more… BibTeX Full text ( DOI )
  • Klajd Zyla, Marco Liess, Thomas Wild, Andreas Herkersdorf: FlexPipe: Fast, Flexible and Scalable Packet Processing for High-Performance SmartNICs. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), 2023 more… BibTeX Full text ( DOI )
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Clara Kowalsky, Thomas Wild, Andreas Herkersdorf: HAWEN: Hardware Accelerator for Thread Wake-Ups in Linux Event Notification. 2023 60th ACM/IEEE Design Automation Conference (DAC), 2023 more… BibTeX
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf: HW-FUTEX: Hardware-Assisted Futex Syscall. IEEE Transactions on Very Large Scale Integration Systems, 2023 more… BibTeX Full text ( DOI )
  • Marco Liess, Julian Demicoli, Tobias Tiedje, Matthias Lohrmann, Matthias Nickel, Marco Luniak, Dimitris Prousalis, Thomas Wild, Ronald Tetzlaff, Diana Göhringer, Christian Mayr, Karlheinz Bock, Sebastian Steinhorst, Andreas Herkersdorf: X-MAPE: Extending 6G-connected Self-adaptive Systems with Reflexive Actions. 2023 IEEE Conference on Network Function Virtualization and Software Defined Networks (NFV-SDN), 2023 more… BibTeX

2022

  • Anmol Prakash Surhonne, Nguyen Anh Vu Doan, Florian Maurer, Thomas Wild, and Andreas Herkersdorf: GAE-LCT: A Run-Time GA-Based Classifier Evolution Method for Hardware LCT Controlled SoC Performance-Power Optimization. Architecture of Computing Systems, 2022 more… BibTeX Full text ( DOI )
  • Jörg Henkel, Andreas Herkersdorf, Heba Khdr, Martin Rapp, Mark Sagi, Mohammed Bakr Sikal, Thomas Wild: Power-Efficient Invasive Loosely-Coupled MPSoCs. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 more… BibTeX
  • Jürgen Becker, Andreas Herkersdorf, Nidhi Anantharajaiah, Oliver Lenke, Akshay Srivatsa, Thomas Wild: Invasive NoCs and Memory Hierarchies for Run-Time Adaptive MPSoCs. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 more… BibTeX Full text ( DOI )
  • Jürgen Becker, Frank Hannig, Thomas Wild, Marcel Brand, Oliver Lenke, Fabian Lesniak: Validation and Demonstrator. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 more… BibTeX
  • Kilian Holzinger, Franz Biersack, Henning Stubbe, Angela Gonzalez Mariño, Abdoul Kane, Francesc Fons, Zhang Haigang, Thomas Wild, Andreas Herkersdorf, Georg Carle: SmartNIC-based Load Management and Network Health Monitoring for Time Sensitive Applications. IEEE/IFIP Network Operations and Management Symposium (NOMS ITAVT Workshop) , 2022 more… BibTeX
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf: GLS Tracing: Gem5-based Low-intrusive Software Tracing. 2022 IEEE Nordic Circuits and Systems Conference (NorCAS), 2022 more… BibTeX
  • Mark Sagi, Nguyen Anh Vu Doan, Nael Fasfous, Thomas Wild, Andreas Herkersdorf: Fine-Grained Power Modeling of Multicore Processors using FFNNs. International Journal of Parallel Programming (IJPP), 2022 more… BibTeX

2021

  • Akshay Srivatsa, Nael Fasfous, Nguyen Anh Vu Doan, Sebastian Nagel, Thomas Wild, Andreas Herkersdorf: Exploring a Hybrid Voting-based Eviction Policy for Caches and Sparse Directories on Manycore Architectures. Microprocessors and Microsystems, 2021 more… BibTeX
  • Kilian Holzinger, Henning Stubbe, Franz Biersack, Angela Gonzalez Mariño, Abdoul Kane, Francisco Lluis Fons, Zhang Haigang, Thomas Wild, Andreas Herkersdorf, Georg Carle: Poster: Precise Real-Time Monitoring of Time-Critical Flows. The 17th International Conference on emerging Networking EXperiments and Technologies (CoNEXT ’21) , 2021 more… BibTeX
  • Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) , 2021 more… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: Protection switching schemes and mapping strategies for fail-operational hard real-time NoCs. Microprocessors and Microsystems 87, 2021 more… BibTeX Full text ( DOI )
  • Oliver Lenke, Richard Petri, Thomas Wild, Andreas Herkersdorf: PEPERONI: Pre-Estimating the Performance of Near-Memory Integration. MEMSYS'21: The International Symposium on Memory Systems, 2021 more… BibTeX
  • Sven Rheindt, Akshay Srivatsa, Oliver Lenke, Lars Nolte, Thomas Wild, Andreas Herkersdorf: Tackling the MPSoC Data Locality Challenge – Part 2 / Chapter 5. In: Multi-Processor System-on-Chip 1. Wiley Online Library, 2021, 87-114 more… BibTeX
  • Victor M. van Santen, Hussam Amrouch, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: Thermal Management and Communication Virtualization for Reliability Optimization in MPSoCs. In: Dependable Embedded Systems . Springer, 2021, pp 181-205 more… BibTeX Full text ( DOI )

2020

  • Akshay Srivatsa, Mostafa Mansour, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf: DynaCo: Dynamic Coherence Management for Tiled Manycore Architectures. International Journal of Parallel Programming, 2020 more… BibTeX
  • Akshay Srivatsa, Sebastian Nagel, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: HyVE: A Hybrid Voting-based Eviction Policy for Caches. IEEE Nordic Circuits and Systems Conference (NorCAS 2020), 2020 more… BibTeX
  • Andreas Oeldemann, Franz Biersack, Thomas Wild, Andreas Herkersdorf: Inter-Server RSS: Extending Receive Side Scaling for Inter-Server Workload Distribution. 28th Euromicro International Conference on Parallel, Distributed and Network-based Processing, 2020 more… BibTeX
  • Mark Sagi, Nguyen Anh Vu Doan, Martin Rapp, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: A Lightweight Nonlinear Methodology to Accurately Model Multi-Core Processor Power. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020 more… BibTeX Full text ( DOI )
  • Mark Sagi, Nguyen Anh Vu Doan, Nael Fasfous, Thomas Wild, Andreas Herkersdorf: Fine-Grained Power Modeling of Multicore Processors using FFNNs. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XX), 2020 more… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: Exploring Task and Channel Mapping Strategies in Fail-Operational and Hard Real-Time NoCs. IEEE Nordic Circuits and Systems Conference (NorCAS 2020), 2020 more… BibTeX Full text ( DOI )
  • Nguyen Anh Vu Doan, Akshay Srivatsa, Nael Fasfous, Sebastian Nagel, Thomas Wild, Andreas Herkersdorf: On-Chip Democracy: A Study on the Use of Voting Systems for Computer Cache Memory Management. International Conference on Industrial Engineering and Engineering Management (IEEM), 2020 more… BibTeX
  • Sven Rheindt, Andreas Fried, Oliver Lenke, Lars Nolte, Temur Sabirov, Tim Twardzik, Thomas Wild, Andreas Herkersdorf: X-CEL: A Method to Estimate Near-Memory Acceleration Potential in Tile-based MPSoCs. ARCS 2020 - 33rd International Conference on Architecture of Computing Systems, 2020 more… BibTeX
  • Sven Rheindt, Sebastian Maier, Nora Pohle, Lars Nolte, Oliver Lenke, Florian Schmaus, Thomas Wild, Wolfgang Schröder-Preikschat, Andreas Herkersdorf: DySHARQ: Dynamic Software-Defined Hardware-Managed Queues for Tile-Based Architectures. International Journal of Parallel Programming, 2020 more… BibTeX Full text ( DOI )
  • Sven Rheindt, Temur Sabirov, Oliver Lenke, Thomas Wild, Andreas Herkersdorf: X-Centric: A Survey on Compute-, Memory- and Application-Centric Computer Architectures. MEMSYS'20: The International Symposium on Memory Systems , 2020 more… BibTeX
  • Yong Hu, Marcel Mettler, Daniel Mueller-Gritschneder, Thomas Wild, Andreas Herkersdorf, Ulf Schlichtmann: Machine Learning Approaches for Efficient Design Space Exploration of Application-specific NoCs. ACM Transactions on Design Automation of Electronic Systems (TODAES), 2020 more… BibTeX Full text ( DOI )

2019

  • Akshay Srivatsa, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf: CoD: Coherence-on-Demand - Runtime Adaptable Working Set Coherence for DSM-based Manycore Architectures. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX) , 2019 more… BibTeX
  • Dominik Scholz, Andreas Oeldemann, Fabien Geyer, Sebastian Gallenmüller, Henning Stubbe, Thomas Wild, Andreas Herkersdorf, Georg Carle: Cryptographic Hashing in P4 Data Planes. 2nd P4 Workshop in Europe (EUROP4), 2019 more… BibTeX
  • Mark Sagi, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Multicore Power Estimation using Independent Component Analysis based Modeling. IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (IEEE MCSoC-2019), 2019 more… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Channel Mapping Strategies for Effective Protection Switching in Fail-Operational Hard Real-Time NoCs. Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2019 more… BibTeX Full text ( DOI )
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: A Hybrid NoC Enabling Fail-Operational and Hard Real-Time Communication in MPSoC. ARCS Konferenz, 2019 more… BibTeX Full text ( DOI )
  • Michael Vonbun, Adrian Schiechel, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: APEC: Improved Acknowledgement Prioritization through Erasure Coding in Bufferless NoCs. 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2019), 2019 more… BibTeX Full text ( DOI )
  • Michael Vonbun, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Network Coding in Networks-on-Chip with Lossy Links. ARCS Konferenz, 2019 more… BibTeX
  • Nguyen Anh Vu Doan, Max Koenen, Thomas Wild, Andreas Herkersdorf: Multi-Objective Optimization of Channel Mapping for Fail-Operational Hybrid TDM NoCs. 2019 Seventh International Symposium on Computing and Networking (CANDARW), 2019 more… BibTeX
  • Rambo, Eberle A.; Kadeed, Thawra; Ernst, Rolf; Seo, Minjun; Kurdahi, Fadi; Donyanavard, Bryan; de Melo, Caio Batista; Maity, Biswadip; Moazzemi, Kasra; Stewart, Kenneth; Yi, Saehanseul; Rahmani, Amir M.; Dutt, Nikil; Maurer, Florian; Doan, Nguyen Anh Vu; Surhonne, Anmol; Wild, Thomas; Herkersdorf, Andreas: The Information Processing Factory: A Paradigm for Life Cycle Management of Dependable Systems. ESweek, 2019 more… BibTeX Full text ( DOI )
  • Sven Rheindt, Andreas Fried, Oliver Lenke, Lars Nolte, Thomas Wild, Andreas Herkersdorf: NEMESYS: Near-Memory Graph Copy Enhanced System-Software. MEMSYS 19: The International Symposium on Memory Systems, 2019 more… BibTeX
  • Sven Rheindt, Sebastian Maier, Florian Schmaus, Thomas Wild, Wolfgang Schröder-Preikschat, Andreas Herkersdorf: SHARQ: Software-Defined Hardware-Managed Queues for Tile-Based Manycore Architectures. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), 2019 more… BibTeX
  • Thomas Goldbrunner, Nguyen Anh Vu Doan, Diogo Poças, Thomas Wild, Andreas Herkersdorf: Register Requirement Minimization of Fixed-Depth Pipelines for Streaming Data Applications. 2019 32nd IEEE International System-on-Chip Conference (SOCC), 2019 more… BibTeX

2018

  • Mischa Möstl, Johannes Schlatow, Rolf Ernst, Nikil Dutt, Ahmed Nassar, Amir Rahmani, Fadi J. Kurdahi, Thomas Wild, Armin Sadighi, Andreas Herkersdorf: Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS. IEEE, 2018 Proceedings of the IEEE more… BibTeX
  • Andreas Oeldemann, Thomas Wild, Andreas Herkersdorf: FlueNT10G: A Programmable FPGA-based Network Tester for Multi-10-Gigabit Ethernet. International Conference on Field-Programmable Logic and Applications (FPL), 2018 more… BibTeX
  • Armin Sadighi, Bryan Donyanavard, Thawra Kadeed, Kasra Moazzemi, Tiago Mück, Ahmed Nassar, Amir M. Rahmani, Thomas Wild, Nikil Dutt, Rolf Ernst, Andreas Herkersdorf, Fadi Kurdahi: Design methodologies for enabling self-awareness in autonomous systems. 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2018 more… BibTeX
  • Armin Sadighi, Thomas Wild, Andreas Herkersdorf: Self-Aware MPSoC Architecture Extensions for Automotive Applications. In: Organic Computing. Kassel University Press GmbH, 2018 more… BibTeX Full text ( DOI )
  • Shiva Shanka Subramanian, Lin PinXing, Andreas Herkersdorf, Thomas Wild: BiSME: A Hardware Coprocessor to Perform Signature Matching at Multi-Gigabit Rates. Application-Specific Systems, Architectures and Processors (ASAP) , 2018 more… BibTeX
  • Shiva Shankar Subramanian, PinXing Lin, Andreas Herkersdorf, Thomas Wild: Bitmaps & Bitmasks: Efficient Tools to Compress Deterministic Automata. Australian Journal of Telecommunications and the Digital Economy Vol 6 (No 3), 2018 more… BibTeX Full text ( DOI )
  • Sven Rheindt, Andreas Schenk, Akshay Srivatsa, Thomas Wild, Andreas Herkersdorf: CaCAO: Complex and Compositional Atomic Operations for NoC-based Manycore Platforms. ARCS 2018 - 31st International Conference on Architecture of Computing Systems, 2018 more… BibTeX
  • Thomas Goldbrunner, Thomas Wild, Andreas Herkersdorf: Memory Access Pattern Profiling for Streaming Applications Based on MATLAB Models. 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018 more… BibTeX Full text ( DOI )

2017

  • Akshay Srivatsa, Sven Rheindt, Thomas Wild, Andreas Herkersdorf: Region Based Cache Coherence for Tiled MPSoCs. 2017 30th IEEE International System-on-Chip Conference (SOCC), 2017 more… BibTeX
  • Andreas Oeldemann, Thomas Wild, Andreas Herkersdorf: Reducing Data Center Resource Over-Provisioning Through Dynamic Load Management for Virtualized Network Functions. International Conference on Architecture of Computing Systems, 2017 more… BibTeX Full text ( DOI )
  • Aurang Zaib, Thomas Wild, Andreas Herkersdorf, Jan Heisswolf, Jürgen Becker, Andreas Weichslgartner, Jürgen Teich: Efficient Task Spawning for Shared Memory and Message Passing in Many-core Architectures. Journal of Systems Architecture, 2017 more… BibTeX Full text ( DOI )
  • Ihsen Alouani, Thomas Wild, Andreas Herkersdorf, Smail Niar: Adaptive Reliability for Fault Tolerant Multicore Systems. Euromicro Conference on Digital System Design (DSD) 2017 , 2017 more… BibTeX Full text ( DOI )
  • Lin Li, Philipp Wagner, Albrecht Mayer, Thomas Wild, Andreas Herkersdorf: A Non-Intrusive Spinlock Profiler for Embedded Multicore Systems. DATE, 2017 more… BibTeX
  • Philipp Wagner, Thomas Wild, Andreas Herkersdorf: DiaSys: Improving SoC insight through on-chip diagnosis. Journal of Systems Architecture, 2017 more… BibTeX Full text ( DOI )
  • Shiva Shanka Subramanian, Lin PinXing, Andreas Herkersdorf, Thomas Wild: A Divide and Conquer State Grouping Method for Bitmap Based Transition. 18th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT’17), 2017 more… BibTeX

2016

  • Andre Richter, Christian Herber, Thomas Wild, Andreas Herkersdorf: Resolving Performance Interference in SR-IOV Setups with PCIe Quality-of-Service Extensions. 2016 Euromicro Conference on Digital System Design (DSD), 2016 more… BibTeX
  • Lin Li, Philipp Wagner, Ramesh Ramaswamy, Albrecht Mayer, Thomas Wild, Andreas Herkersdorf: A Rule-based Methodology for Hardware Configuration Validation in Embedded Systems. 19th International Workshop on Software and Compilers for Embedded Systems (SCOPES 2016), 2016 more… BibTeX
  • Michael Vonbun, Thomas Wild, Andreas Herkersdorf: Estimation of End-to-End Packet Error Rates for NoC Multicasts. Architecture of Computing Systems -- ARCS 2016, Springer International Publishing, 201629th International Conference, Nuremberg, Germany, April 4-7, 2016, Proceedings more… BibTeX Full text ( DOI )
  • Philipp Wagner, Lin Li, Thomas Wild, Albrecht Mayer, Andreas Herkersdorf: What happens on an MPSoC stays on an MPSoC - unfortunately! 2016 International Symposium on Integrated Circuits (ISIC), 2016 more… BibTeX Full text ( DOI )
  • Philipp Wagner, Thomas Wild, Andreas Herkersdorf: DiaSys: On-Chip Trace Analysis for Multi-processor System-on-Chip. Architecture of Computing Systems -- ARCS 2016 (Springer Lecture Notes 9637), Springer International Publishing, 2016, 197-209 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf: TCU: A Multi-Objective Hardware Thread Mapping Unit for HPC Clusters. International Supercomputing Conference High Performance -- ISC 2016, 2016 more… BibTeX
  • Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Éricles Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel: Dark silicon management: an integrated and coordinated cross-layer approach. it - Information Technology 58 (6), 2016, 297–307 more… BibTeX Full text ( DOI )
  • Shiva Shankar Subramanian, Pinxing Lin, Andreas Herkersdorf, Thomas Wild: Hardware Acceleration of Signature Matching through Multi­ Layer Transition Bit Masking. ITNAC 2016, International Telecommunication Networks and Applications Conference, 2016, 226-233 more… BibTeX
  • Stefan Rösch, Holm Rauchfuss, Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: MPSoC application resilience by hardware-assisted communication virtualization. Microelectronics Reliability, 2016 more… BibTeX Full text ( DOI )

2015

  • Andre Richter, Christian Herber, Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: A Hardware/Software Approach for Mitigating Performance Interference Effects in Virtualized Environments Using SR-IOV. Cloud Computing (CLOUD), 2015 IEEE 8th International Conference on, 2015 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Andre Richter, Christian Herber, Thomas Wild, Andreas Herkersdorf: Denial-of-Service attacks on PCI passthrough devices: Demonstrating the impact on network- and storage-I/O performance. Journal of Systems Architecture 61 (10), 2015, 592 - 599 more… BibTeX Full text ( DOI )
  • Andreas Weichslgartner, Jan Heisswolf, Aurang Zaib, Thomas Wild, Andreas Herkersdorf, Jürgen Becker and Jürgen Teich: Position Paper: Towards Hardware-Assisted Decentralized Mapping of Applications for Heterogeneous NoC Architectures. Second International Workshop on Multi-objective Many-core design (MOMAC), 2015 more… BibTeX
  • Aurang Zaib, Jan Heisswolf, Andreas Weichslgartner, Thomas Wild, Jürgen Teich, Jürgen Becker, Andreas Herkersdorf: Network Interface with Task Spawning Support for NoC-Based DSM Architectures. Architecture of Computing Systems--ARCS 2015, 2015 more… BibTeX
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: Real-Time Capable CAN to AVB Ethernet Gateway Using Frame Aggregation and Scheduling. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015 more… BibTeX Full text (mediaTUM)
  • Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes M. Kühn, Wolfgang Rosenstiel, Thomas Schweitzer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang: Adaptive multi-layer techniques for increased system dependability. it - Information Technology 57 (3), 2015 more… BibTeX Full text ( DOI )
  • Philipp Wagner, Lin Li, Thomas Wild, Albrecht Mayer, Andreas Herkersdorf: Knowledge-Based On-Chip Diagnosis for Multi-Core Systems-on-Chip. edaWorkshop 15, 2015, 39-45 more… BibTeX Full text (mediaTUM)
  • Preethi Parayil Mana Damodaran, Aurang Zaib, Thomas Wild, Stefan Wallentowitz, Andreas Herkersdorf: Sharer Status-based Caching in tiled Multiprocessor Systems-on-Chip. HPC 2015, 2015 more… BibTeX
  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf: A Hardware-based Multi-objective Thread Mapper for Tiled Manycore Architectures. 33rd IEEE International Conference on Computer Design (ICCD), 2015 more… BibTeX Full text ( DOI )

2014

  • Andre Richter, Christian Herber, Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Performance Isolation Exposure in Virtualized Platforms with PCI Passthrough I/O Sharing. ARCS - Architecture of Computing Systems, 2014 more… BibTeX Full text (mediaTUM)
  • Andy Heinig, Manfred Dietrich, Andreas Herkersdorf, Felix Miller, Thomas Wild, Kai Hahn, Armin Grünewald, Rainer Brück, Steffen Krohnert, Jochen Reisinger: System Integration - The Bridge between More than Moore and More Moore Design. Design Automation and Test in Europe (DATE), Friday Workshop on 3D Integration, 2014 more… BibTeX
  • Aurang Zaib, Prashanth Raju, Thomas Wild, Andreas Herkersdorf: A Layered Modeling and Simulation Approach to investigate Resource-aware Computing in MPSoCs. Resource awareness and adaptivity in multi-core computing, RACING, First Workshop, 2014 more… BibTeX
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: Deadline-Aware Interrupt Coalescing in Controller Area Network (CAN). The 11th IEEE International Conference on Embedded Software and Systems, 2014 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: A Network Virtualization Approach for Performance Isolation in Controller Area Network (CAN). The 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2014 more… BibTeX Full text (mediaTUM)
  • Felix Miller, Vladimir Todorov, Thomas Wild, Daniel Müller-Gritschneder, Andreas Herkersdorf, Ulf Schlichtmann: A TSV-Property-aware Synthesis Method for Application-Specific 3D-NoCs Design. Design Automation and Test in Europe (DATE), Friday Workshop on 3D Integration, 2014 more… BibTeX
  • Jan Heisswolf, Aurang Zaib, Andreas Weichslgartner, Martin Karle, Maximilian Singh, Thomas Wild, Jürgen Teich, Andreas Herkersdorf, Jürgen Becker: The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure. Proceedings of the first International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS), 2014 more… BibTeX
  • Stefan Wallentowitz, Michael Tempelmeier, Thomas Wild, Andreas Herkersdorf: Network-on-Chip Protection Switching Techniques for Dependable Task Migration on an Open Source MPSoC Platform. edaWorkshop, 2014 more… BibTeX
  • Stefan Wallentowitz, Volker Wenzel, Stefan Rösch, Thomas Wild, Andreas Herkersdorf, Jörg Henkel: Dependable Task and Communication Migration in Tiled Manycore System-on-Chip. Forum on Specification & Design Languages (FDL), 2014 more… BibTeX

2013

  • Andreas Herkersdorf, Johny Paul, Ravi Kumar Pujari, Walter Stechele, Stefan Wallentowitz, Thomas Wild, Aurang Zaib: Potentials and Challenges for Multi-Core Processors in Robotic Applications. Workshop "Roboterkontrollarchitekturen" auf der Informatik 2013, 43. Jahrestagung der Gesellschaft für Informatik, GI-Edition "Lecture Notes in Informatics" (LNI), 2013 more… BibTeX
  • Aurang Zaib, Jan Heisswolf, Andreas Weichslgartner, Thomas Wild, Jürgen Teich, Jürgen Becker, Andreas Herkersdorf: AUTO-GS: Self-optimization of NoC Traffic Through Hardware Managed Virtual Connections. 16th EUROMICRO Digital System Design (DSD) Conference, 2013 more… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: Virtualized and Fault-Tolerant Inter-Layer-Links for 3D-ICs. Microprocessors and Microsystems Volume 37 (Issue 8), 2013, pp 823-835 more… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: Networks-On-Chips für 3D-ICs. 7. ITG/GI/GMM-Fachtagung, 2013 more… BibTeX
  • J. Heisswolf, A. Zaib, A. Weichslgartner, R. König, T. Wild, A. Herkersdorf, J. Teich and J. Becker: Virtual Networks - Distributed Communication Resource Management. In: Transactions on Reconfigurable Technology and Systems (TRETS). ACM, 2013 more… BibTeX
  • Jan Heisswolf, Andreas Weichslgartner, Aurang Zaib, Ralf König, Thomas Wild, Andreas Herkersdorf, Jürgen Teich, Jürgen Becker: Hardware Supported Adaptive Data Collection for Networks on Chip. IPDPS PhD Forum - 27th IEEE International Symposium on Parallel & Distributed Processing, 2013 more… BibTeX
  • Stefan Wallentowitz, Philipp Wagner, Michael Tempelmeier, Thomas Wild, Andreas Herkersdorf: Open Tiled Manycore System-on-Chip. Lehrstuhl für Integrierte Systeme, 2013, more… BibTeX
  • Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: HW-OSQM: Reducing the Impact of Event Signaling by Hardware-based Operating System Queue Manipulation. International Conference on Architecture of Computing Systems (ARCS), Springer, 2013, 280-291 more… BibTeX

2012

  • Andreas Herkersdorf, Hans-Ulrich Michel, Holm Rauchfuss, Thomas Wild: Multicore Enablement for Automotive Cyber Physical Systems. Special issue of journal "it - Information Technology", 2012 more… BibTeX
  • Andreas Lankes, Thomas Wild, Stefan Wallentowitz, Andreas Herkersdorf: Benefits of Selective Packet Discard in Networks-on-Chip. Architecture and Code Optimization (TACO) Volume 9 (Issue 2), 2012, p. 1-21 more… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: TSV-Virtualization for Multi-Protocol-Interconnect in 3D-ICs. 15th EUROMICRO Conference on Digital System Design (DSD), 2012 more… BibTeX
  • Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Enhanced Reliability in Tiled Manycore Architectures through Transparent Task Relocation. 7th Workshop Dependability and Fault Tolerance (VERFE), presented at ARCS, 2012 more… BibTeX
  • Jan Heisswolf, Aurang Zaib, Andreas Weichslgartner, Ralf Koenig, Thomas Wild, Jürgen Teich, Andreas Herkersdorf, Jürgen Becker: Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS. IEEE International Symposium on Parallel and Distributed Processing Workshops and PhD Forum (IPDPSW), 2012 more… BibTeX
  • Jörg Henkel, Andreas Herkersdorf, Lars Bauer, Thomas Wild, Michael Hübner, Ravi Kumar Pujari, Artjom Grudnitsky, Jan Heisswolf, Aurang Zaib, Benjamin Vogel, Vahid Lari, Sebastian Kobbe: Invasive Manycore Architectures. Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), 2012 more… BibTeX
  • Kai Hylla, Armin Grünewald, Kai Hahn, Andy Heinig, Uwe Knöchel, S. Wolf, Felix Miller, Thomas Wild, Artur Quiring, Markus Olbrich, Sebastian Sattler, Dieter Treytnar: NEEDS - Nanoelektronik-Entwurf für 3D-Systeme. Zuverlässigkeit und Entwurf, 6. GMM/GI/ITG-Fachtagung (ZuE) , 2012 more… BibTeX
  • Michael Gerndt, Frank Hannig, Andreas Herkersdorf, Andreas Hollmann, Marcel Meyer, Sascha Roloff, Josef Weidendorfer, Thomas Wild, Aurang Zaib: An Integrated Simulation Framework for Invasive Computing. Forum on specification and Design Languages (FDL), 2012 more… BibTeX
  • Roman Plyaskin, Thomas Wild, Andreas Herkersdorf: System-level Software Performance Simulation Considering Out-of-order Processor Execution. International Symposium on System-on-Chip, 2012 more… BibTeX
  • Stefan Wallentowitz, Andreas Lankes, Aurang Zaib, Thomas Wild, Andreas Herkersdorf: A Framework for Open Tiled Manycore System-on-Chip. 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012 more… BibTeX

2011

  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf, Benjamin Vogel, Jörg Henkel: Hardware Assisted Thread Assignment for RISC based MPSoCs in Invasive Computing. International Symposium on Integrated Circuits (ISIC), 2011 more… BibTeX
  • Stefan Wallentowitz, Marcel Meyer, Thomas Wild, Andreas Herkersdorf: Accelerating Collective Communication in Message Passing on Manycore System-on-Chip. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XI), 2011 more… BibTeX

2010

  • Andreas Herkersdorf, Andreas Lankes, Michael Meitinger, Rainer Ohlendorf, Stefan Wallentowitz, Thomas Wild, Johannes Zeppenfeld: Hardware Support to Exploit Parallelism in Homogeneous and Heterogeneous Multi-Core Systems on Chip. Springer Verlag, 2010 more… BibTeX
  • Andreas Lankes, Thomas Wild, Sören Sonntag, Helmut Reinig, Andreas Herkersdorf: Comparison of Deadlock Recovery and Avoidance Mechanisms to approach Message dependent Deadlocks in on-chip Networks. The 4th ACM/IEEE International Symposium on Networks-on-Chip, 2010 more… BibTeX
  • Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: A Network Interface Card Architecture for I/O Virtualization in Embedded Systems. Second Workshop on I/O Virtualization (WIOV), 2010 more… BibTeX
  • Kimon Karras, Thomas Wild, Andreas Herkersdorf: A Folded Pipeline Network Processor Architecture for 100 Gbit/s Networks. ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), 2010 more… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: An Application-aware Load Balancing Strategy for Network Processors. International Conference on High-Performance Embedded Architectures and Compilers (HiPEAC), 2010 more… BibTeX

2009

  • Andreas Lankes, Thomas Wild, Andreas Herkersdorf: Hierarchical NoCs for Optimized Access to Shared Memory and IO Resources. Euromicro Conference on Digital System Design (DSD), 2009 more… BibTeX
  • Daniel Llorente, Kimon Karras, Thomas Wild, Andreas Herkersdorf: Advanced Packet Segmentation and Buffering Algorithms in Network Processors. 4th International Conference on High Performance and Embedded Architectures and Compilers, 2009 more… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: FlexPath NP- Flexible, Dynamically Reconfigurable Processing Paths in Network Processors. In: Dynamically Reconfigurable Systems, Architectures, Design, Methods and Applications. Springer, 2009 more… BibTeX
  • Simon Hauger, Thomas Wild, Arthur Mutter, Andreas Kirstädter, Kimon Karras, Rainer Ohlendorf, Frank Feller, Joachim Scharf: Packet Processing at 100Gbps and Beyond - Challenges and Perspectives. 10. ITG-Fachtagung Photonische Netze, 2009 more… BibTeX

2008

  • Andreas Lankes, Thomas Wild, Johannes Zeppenfeld: System Level Simulation of Autonomic SoCs with TAPES. Architecture of Computing Systems (ARCS) (Lecture Notes in Computer Science 4934), Springer, 2008, 9-22 more… BibTeX Full text ( DOI )
  • Daniel Llorente, Kimom Karras, Thomas Wild, Andreas Herkersdorf: Buffer Allocation for Advanced Packet Segmentation in Network Processors. Application-Specific Systems, Architectures and Processors (ASAP), IEEE Press, 2008, 221-226 more… BibTeX Full text ( DOI )
  • Kimon Karras, Daniel Llorente, Thomas Wild, Andreas Herkersdorf: Improving Memory Subsystem Performance in Network Processors with Smart Packet Segmentation. Embedded Computer Systems: Architectures, Modeling, and Simulation, 20088th International Workshop, SAMOS more… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: FlexPath NP - A Network Processor Architecture with Flexible Processing Paths. International Symposium on System-on-Chip (SoC), 2008 more… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Hardware Packet Resequencer Unit for Network Processors. Architecture of Computing Systems (ARCS) (Lecture Notes in Computer Science 4934), Springer, 2008, 85-97 more… BibTeX Full text ( DOI )
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: A Processing Path Dispatcher in Network Processor MPSoCs. IEEE Transactions on VLSI Systems, IEEE, 2008, 1335-1345 more… BibTeX
  • Thilo Pionteck, Roman Koch, Carsten Albrecht, Erik Maehle, Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: SPP1148 Booth: Network Processors. Field Programmable Logic and Applications (FPL), 2008, 352-352 more… BibTeX
  • Zhonglei Wang, Thomas Wild, Stefan Rüping, Bernhard Lippmann: Benchmarking Domain Specific Processors: A Case Study of Evaluating A Smart Card Processor Design. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE Press, 2008, 16-21 more… BibTeX Full text ( DOI )

2007

  • Andreas Herkersdorf, Christopher Claus, Michael Meitinger, Rainer Ohlendorf, Thomas Wild: Reconfigurable Processing Units vs. Reconfigurable Interconnects. Dagstuhl Seminar on Dynamically Reconfigurable Architectures, 2007 more… BibTeX
  • Andreas Lankes, Thomas Wild, Johannes Zeppenfeld: Power Estimation of Time Variant SoCs with TAPES. 10th EUROMICRO Conference on Digital System Design: Architectures, Methods, Tools (DSD 07), 2007 more… BibTeX
  • Daniel Llorente, Kimon Karras, Michael Meitinger, Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Accelerating Packet Buffering and Administration in Network Processors. International Symposium on Integrated Circuits (ISIC), 2007 more… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Programmable Stream Processing Engine for Packet Manipulation in Network Processors. IEEE Computer Society Annual Symposium on VLSI, 2007 (ISVLSI '07), 2007 more… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: A Packet Classification Technique for On-Chip Processing Path Selection. Proceedings of the 5th Workshop on Application Specific Processors (WASP'07), 2007, pp 95-102 more… BibTeX
  • Rainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Simulated and Measured Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications. Journal of Systems Architecture Volume 53 (Issue 10), 2007, pp 703-718 more… BibTeX Full text ( DOI )

2006

  • Jürgen Foag, Thomas Wild: Queuing algorithm for speculative Network Processors. International Journal of High Performance Computing and Networking Volume 4 (Issue 5/6), 2006, pp 241-247 more… BibTeX
  • Rainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications. Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS 2006), 2006 more… BibTeX
  • Thomas Wild, Andreas Herkersdorf, Gyoo-Yeong Lee: TAPES - Trace-based architecture performance evaluation with SystemC. Design Automation for Embedded Systems Volume 10 (Numbers 2-3, Special Issue on SystemC-based System Modeling, Verification and Synthesis), 2006, pp 157-179 more… BibTeX
  • Thomas Wild, Andreas Herkersdorf, Rainer Ohlendorf: Performance Evaluation for System-on-Chip Architectures using Trace-based Transaction Level Simulation. Design Automation & Test in Europe (DATE), 2006 more… BibTeX

2005

  • Jürgen Foag, Thomas Wild: Predictive Processing Architecture Extension for Network Processora. IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2005 more… BibTeX
  • Rainer Ohlendorf, Andreas Herkersdorf, Thomas Wild: FlexPath NP - A Network Processor Concept with Application-Driven Flexible Processing Paths. CODES+ISSS, 2005 more… BibTeX

2004

  • Jürgen Foag, Thomas Wild: Queuing algorithm for Speculative Network Processors. 18th International Symposium on High Performance Computing Systems and Applications, 2004 more… BibTeX

2003

  • Jürgen Foag, Thomas Wild: Traffic Prediction Algorithm for a Speculative Network Processor. 17th Intl. Symposium for High Performance Computing Systems and Applications HPCS, 2003 more… BibTeX
  • Winthir Brunnbauer, Thomas Wild, Andreas Krug: Consideration of IP-Modules during Mapping and Scheduling of Task Graphs. Austrochip, 2003 more… BibTeX
  • Winthir Brunnbauer, Thomas Wild, Jürgen Foag, Nuria Pazos: A Constructive Algorithm with Look-Ahead for Mapping and Scheduling of Task Graphs with Conditional Edges. EuroMicro Symposium on Digital System Design (DSD), 2003 more… BibTeX