Publikationen

Suche
Kein Ergebnis

Hinweis: Die „Schnellsuche“ findet nur Text in den angezeigten Feldern; nicht in Abstracts oder Schlagwörtern. Der Suchbegriff muss mindestens 3 Buchstaben lang sein.

2024

  • Florian Maurer, Thomas Wild, Andreas Herkersdorf: Experiencing Self-Aware MPSoC Run-Time Optimization with Autonomous Bots. SelPhyS 2024, 2024 mehr… BibTeX
  • Kantic, Jonas; Legl, Fabian C.; Stechele, Walter; Hermann, Jakob: ReLiCADA: Reservoir Computing Using Linear Cellular Automata design algorithm. Complex & Intelligent Systems, 2024 mehr… BibTeX Volltext ( DOI )
  • Klajd Zyla, Marco Liess, Thomas Wild, Andreas Herkersdorf: FlexRoute: A Fast, Flexible and Priority-Aware Packet-Processing Design. 32nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP 2024), 2024 mehr… BibTeX Volltext ( DOI )

2023

  • Anmol Prakash Surhonne, Florian Maurer, Thomas Wild, Andreas Herkersdorf: LCT-TL: Learning Classifier Table (LCT) with Transfer Learning for run-time SoC performance-power optimization. 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2023), 2023 mehr… BibTeX
  • Anmol Prakash Surhonne, Florian Maurer, Thomas Wild, Andreas Herkersdorf: LCT-DER: Learning Classifier Table with Dynamic-sized Experience Replay for run-time SoC performance-power optimization. The Genetic and Evolutionary Computation Conference (GECCO), 2023 mehr… BibTeX Volltext ( DOI )
  • Franz Biersack, Kilian Holzinger, Henning Stubbe, Thomas Wild, Georg Carle, Andreas Herkersdorf: Priority-aware Inter-Server Receive Side Scaling. 31st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, 2023 mehr… BibTeX Volltext ( DOI )
  • Gasper Skvarc Bozic, Ibai Irigoyen Ceberio, Matthias Ernst, Albrecht Mayer: A New Generation Automotive Tool Access Architecture for Remote in-Field Diagnosis. WCX SAE World Congress Experience 2023, 2023 mehr… BibTeX
  • Klajd Zyla, Florian Maurer, Thomas Wild, Andreas Herkersdorf: CoLeCTs: Cooperative Learning Classifier Tables for Resource Management in MPSoCs. 36th GI/ITG International Conference on Architecture of Computing Systems, 2023 mehr… BibTeX Volltext ( DOI )
  • Klajd Zyla, Marco Liess, Thomas Wild, Andreas Herkersdorf: FlexPipe: Fast, Flexible and Scalable Packet Processing for High-Performance SmartNICs. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), 2023 mehr… BibTeX Volltext ( DOI )
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Clara Kowalsky, Thomas Wild, Andreas Herkersdorf: HAWEN: Hardware Accelerator for Thread Wake-Ups in Linux Event Notification. 2023 60th ACM/IEEE Design Automation Conference (DAC), 2023 mehr… BibTeX
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf: HW-FUTEX: Hardware-Assisted Futex Syscall. IEEE Transactions on Very Large Scale Integration Systems, 2023 mehr… BibTeX Volltext ( DOI )
  • Lukas Frickenstein, Pierpaolo Mori, Shambhavi Balamuthu Sampath, Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Christian Unger, Claudio Passerone, Walter Stechele: Adversarial Robustness of Multi-Bit Convolutional Neural Networks. Intelligent Systems Conference (IntelliSys) 2023, 2023 mehr… BibTeX
  • Marco Liess, Julian Demicoli, Tobias Tiedje, Matthias Lohrmann, Matthias Nickel, Marco Luniak, Dimitris Prousalis, Thomas Wild, Ronald Tetzlaff, Diana Göhringer, Christian Mayr, Karlheinz Bock, Sebastian Steinhorst, Andreas Herkersdorf: X-MAPE: Extending 6G-connected Self-adaptive Systems with Reflexive Actions. 2023 IEEE Conference on Network Function Virtualization and Software Defined Networks (NFV-SDN), 2023 mehr… BibTeX
  • Maurer, Florian; Thoma, Moritz; Surhonne, Anmol Prakash; Donyanavard, Bryan; Herkersdorf, Andreas: Machine Learning in Run-Time Control of Multicore Processor Systems. it - Information Technology 0 (0), 2023 mehr… BibTeX Volltext ( DOI )
  • Nora Sperling, Alex Bendrick, Dominik Stöhrmann, Rolf Ernst, Bryan Donyanavard, Florian Maurer, Oliver Lenke, Anmol Surhonne, Andreas Herkersdorf, Walaa Amer, Caio Batista de Melo, Ping-Xiang Chen, Quang Anh Hoang, Rachid Karami, Biswadip Maity, Paul Nikolian, Mariam Rakka, Dongjoo Seo, Saehanseul Yi, Minjun Seo, Nikil Dutt, Fadi Kurdahi: Information Processing Factory 2.0 - Self-awareness for Autonomous Collaborative Systems. DATE 2023, 2023 mehr… BibTeX Volltext ( DOI )
  • Pierpaolo Mori, Shambhavi Balamuthu Sampath, Lukas Frickenstein, Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Walter Stechele, Claudio Passerone: WinoTrain: Winograd-Aware Training for Accurate Full 8-bit Convolution Acceleration. Design Automation Confernec (DAC), 2023 mehr… BibTeX
  • Simon Friedrich, Shambhavi Balamuthu Sampath, Robert Wittig, Manoj Rohit Vemparala, Nael Fasfous, Emil Matus, Walter Stechele and Gerhard Fettweis: Lightweight Instruction Set for Flexible Dilated Convolutions and Mixed-Precision Operands. International Symposium on Quality Electronic Design (ISQED) 2023, 2023 mehr… BibTeX
  • Zhongliang Jiang, Xuesong Li, Chenyu Zhang, Yuan Bi, Walter Stechele, Nassir Navab: Skeleton Graph-Based Ultrasound-CT Non-Rigid Registration. IEEE Robotics and Automation Letters, 2023 mehr… BibTeX Volltext ( DOI )

2022

  • Anmol Prakash Surhonne, Nguyen Anh Vu Doan, Florian Maurer, Thomas Wild, and Andreas Herkersdorf: GAE-LCT: A Run-Time GA-Based Classifier Evolution Method for Hardware LCT Controlled SoC Performance-Power Optimization. Architecture of Computing Systems, 2022 mehr… BibTeX Volltext ( DOI )
  • Emanuele Valpreda, Pierpaolo Morì, Nael Fasfous, Manoj Rohit Vemparala, Alexander Frickenstein, Lukas Frickenstein, Walter Stechele, Claudio Passerone, Guido Masera, Maurizio Martina: HW-Flow-Fusion: Inter-Layer Scheduling for Convolutional Neural Network Accelerators with Dataflow Architectures. Band Electronics, Volume 11, Number 18. Journal of Electronics, 2022 mehr… BibTeX
  • Furkan Kaynar, Peter Geissler, Laurent Demaret, Tamara Seybold, Walter Stechele: Non-iterative Blind Deblurring of Digital Microscope Images with Spatially Varying Blur. Conference on Medical Image Understanding and Analysis, 2022 mehr… BibTeX
  • Jörg Henkel, Andreas Herkersdorf, Heba Khdr, Martin Rapp, Mark Sagi, Mohammed Bakr Sikal, Thomas Wild: Power-Efficient Invasive Loosely-Coupled MPSoCs. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 mehr… BibTeX
  • Jürgen Becker, Andreas Herkersdorf, Nidhi Anantharajaiah, Oliver Lenke, Akshay Srivatsa, Thomas Wild: Invasive NoCs and Memory Hierarchies for Run-Time Adaptive MPSoCs. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 mehr… BibTeX Volltext ( DOI )
  • Jürgen Becker, Frank Hannig, Thomas Wild, Marcel Brand, Oliver Lenke, Fabian Lesniak: Validation and Demonstrator. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 mehr… BibTeX
  • Kilian Holzinger, Franz Biersack, Henning Stubbe, Angela Gonzalez Mariño, Abdoul Kane, Francesc Fons, Zhang Haigang, Thomas Wild, Andreas Herkersdorf, Georg Carle: SmartNIC-based Load Management and Network Health Monitoring for Time Sensitive Applications. IEEE/IFIP Network Operations and Management Symposium (NOMS ITAVT Workshop) , 2022 mehr… BibTeX
  • Korbinian Weikl, Damien Schroeder, Walter Stechele: Potentials of combined visible light and near infrared imaging for driving automation. Electronic Imaging Conference, 2022 mehr… BibTeX
  • Korbinian Weikl, Jeppe Revall Frisvad, Damien Schroeder, Walter Stechele: Imaging through curved glass: Windshield optical impact on automotive cameras. SPIE Industrial Optical Devices and Systems Conference, 2022 mehr… BibTeX
  • Lars Nolte, Tim Twardzik, Camille Jalier, Zhigang Huang, Jiyuan Shi, Thomas Wild, Andreas Herkersdorf: GLS Tracing: Gem5-based Low-intrusive Software Tracing. 2022 IEEE Nordic Circuits and Systems Conference (NorCAS), 2022 mehr… BibTeX
  • Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Emanuele Valpreda, Manfredi Camalleri, Qi Zhao, Christian Unger, Naveen Shankar Nagaraja, Maurizio Martina, Walter Stechele: HW-Flow: A Multi-Abstraction Level HW-CNN Codesign Pruning Methodology. Leibniz Transactions on Embedded Systems (LITES), 2022 mehr… BibTeX
  • Manu Manuel, Benjamin Hien, Simon Conrady, Arne Kreddig, Nguyen Anh Vu Doan, and Walter Stechele: Region of Interest Based Non-dominated Sorting Genetic Algorithm-II: An Invite and Conquer Approach. The Genetic and Evolutionary Computation Conference (GECCO), 2022 mehr… BibTeX Volltext ( DOI )
  • Marco Liess, Francisco Lázaro, Andrea Munari: Frame Synchronization Algorithms for Satellite Internet of Things Scenarios. 2022 11th Advanced Satellite Multimedia Systems Conference and the 17th Signal Processing for Space Communications Workshop (ASMS/SPSC), 2022 mehr… BibTeX Volltext ( DOI )
  • Mark Sagi, Nguyen Anh Vu Doan, Nael Fasfous, Thomas Wild, Andreas Herkersdorf: Fine-Grained Power Modeling of Multicore Processors using FFNNs. International Journal of Parallel Programming (IJPP), 2022 mehr… BibTeX
  • Nael Fasfous, Lukas Frickenstein, Michael Neumeier, Manoj Rohit Vemparala, Alexander Frickenstein, Emanuele Valpreda, Maurizio Martina, Walter Stechele: Mind the Scaling Factors: Resilience Analysis of Quantized Adversarially Robust CNNs. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022 mehr… BibTeX
  • Nael Fasfous, Manoj Rohit Vemparala, Alexander Frickenstein, Emanuele Valpreda, Driton Salihu, Julian Höfer, Anmol Singh, Naveen-Shankar Nagaraja, Hans-Joerg Voegel, Nguyen Anh Vu Doan, Maurizio Martina, Juergen Becker, Walter Stechele: AnaCoNGA: Analytical HW-CNN Co-design using Nested Genetic Algorithms. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022 mehr… BibTeX
  • Pierpaolo Mori, Manoj Rohit Vemparala, Nael Fasfous, Saptarshi Mitra, Sreetama Sarkar, Alexander Frickenstein, Lukas Frickenstein, Domenik Helms, Naveen-Shankar Nagaraja, Walter Stechele, Claudio Passerone: Accelerating and Pruning CNNs for Semantic Segmentation on FPGA. Design Automation Conference (DAC), 2022 mehr… BibTeX
  • Tamim Asfour, Walter Stechele, Nael Fasfous, Felix Hundhausen, Fabian Paus: Invasive Software-Hardware Architectures for Robotics. In: Invasive Computing. FAU University Press, Universitätsstraße 4, 91054 Erlangen, 2022 mehr… BibTeX

2021

  • Akshay Srivatsa, Nael Fasfous, Nguyen Anh Vu Doan, Sebastian Nagel, Thomas Wild, Andreas Herkersdorf: Exploring a Hybrid Voting-based Eviction Policy for Caches and Sparse Directories on Manycore Architectures. Microprocessors and Microsystems, 2021 mehr… BibTeX
  • Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit B. Kleeberger, Johannes M. Kühn, Peter Marwedel, Daniel Mueller-Gritschneder, Sani R. Nassif, Semeen Rehman, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Jürgen Teich, Norbert Wehn, Christian Weis: RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience. In: Dependable Embedded Systems . Springer, 2021, pp 1-27 mehr… BibTeX Volltext ( DOI )
  • Arne Kreddig, Simon Conrady, Manu Manuel, Walter Stechele: A Framework for Hardware-Accelerated Design Space Exploration for Approximate Computing on FPGA. 2021 24th Euromicro Conference on Digital System Design (DSD), IEEE, 2021 mehr… BibTeX Volltext ( DOI )
  • Biswadip Maity, Bryan Donyanavard, Anmol Prakash Surhonne, Amir Rahmani, Andreas Herkersdorf, Nikil Dutt: SEAMS: Self-Optimizing Runtime Manager for Approximate Memory Hierarchies. ACM Transactions on Embedded Computing Systems (TECS), 2021 mehr… BibTeX Volltext ( DOI )
  • Ee Heng Chen, Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Ahmed Mzid, Naveen-Shankar Nagaraja, Joeran Zeisler, Walter Stechele, Darius Burschka: Investigating Binary Neural Networks for Traffic Sign Detection and Recognition. IEEE Intelligent Vehicles Symposium (IV), 2021 mehr… BibTeX
  • Gabor Balazs, Mateusz Chmurski, Walter Stechele, Mariusz Zubert: Sensor Fusion Neural Networks for Gesture Recognition on Low-Power Edge Devices. International Conference on Agents and Artificial Intelligence (ICAART), 2021 mehr… BibTeX
  • Kilian Holzinger, Henning Stubbe, Franz Biersack, Angela Gonzalez Mariño, Abdoul Kane, Francisco Lluis Fons, Zhang Haigang, Thomas Wild, Andreas Herkersdorf, Georg Carle: Poster: Precise Real-Time Monitoring of Time-Critical Flows. The 17th International Conference on emerging Networking EXperiments and Technologies (CoNEXT ’21) , 2021 mehr… BibTeX
  • Manoj Rohit Vemparala, Nael Fasfous, Lukas Frickenstein, Alexander Frickenstein, Anmol Singh, Driton Salihu, Christian Unger, Naveen-Shankar Nagaraja, Walter Stechele: Hardware-Aware Mixed-Precision Neural Networks using In-Train Quantization. British Machine Vision Conference (BMVC), 2021 mehr… BibTeX
  • Manoj Rohit Vemparala, Alexander Frickenstein, Nael Fasfous, Lukas Frickenstein, Qi Zhao, Sabine Franziska Kuhn, Daniel Ehrhardt, Yuankai Wu, Christian Unger, Naveen-Shankar Nagaraja, Walter Stechele: BreakingBED - Breaking Binary and Efficient Deep Neural Networks by Adversarial Attacks. Intelligent Systems Conference (IntelliSys), 2021 mehr… BibTeX
  • Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Sreetama Sarkar, Qi Zhao, Sabine Kuhn, Lukas Frickenstein, Anmol Singh, Christian Unger, Naveen Nagaraja, Christian Wressnegger, Walter Stechele: Adversarial Robust Model Compression using In-Train Pruning. IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), 2021 mehr… BibTeX
  • Manoj Vemparala, Anmol Singh, Ahmed Mzid, Nael Fasfous, Alexander Frickenstein, Florian Mirus, Hans-Joerg Voegel, Naveen-Shankar Nagaraja, Walter Stechele: Pruning CNNs for LiDAR-based Perception in Resource Constrained Environments. IEEE Intelligent Vehicles Symposium Workshops (IV Workshops), 2021 mehr… BibTeX
  • Manu Manuel, Arne Kreddig, Simon Conrady, Nguyen Anh Vu Doan, Walter Stechele: Region of Interest-Based Parameter Optimization for Approximate Image Processing on FPGAs. International Journal of Networking and Computing 11 (2), 2021, 438-462 mehr… BibTeX Volltext ( DOI )
  • Mark Sagi, Martin Rapp, Heba Khdr, Yizhe Zhang, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: Long Short-Term Memory Neural Network-based Power Forecasting of Multi-Core Processors. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) , 2021 mehr… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: Protection switching schemes and mapping strategies for fail-operational hard real-time NoCs. Microprocessors and Microsystems 87, 2021 mehr… BibTeX Volltext ( DOI )
  • Nael Fasfous, Manoj Rohit Vemparala, Alexander Frickenstein, Emanuele Valpreda, Driton Salihu, Nguyen Anh Vu Doan, Christian Unger, Naveen Shankar Nagaraja, Maurizio Martina, Walter Stechele: HW-FlowQ: A Multi-Abstraction Level HW-CNN Co-design Quantization Methodology. ACM Transactions on Embedded Computing Systems (TECS), 2021 mehr… BibTeX
  • Nael Fasfous, Manoj Rohit Vemparala, Alexander Frickenstein, Mohamed Badawy, Felix Hundhausen, Julian Höfer, Naveen-Shankar Nagaraja, Christian Unger, Hans-Jörg Vögel, Jürgen Becker, Tamim Asfour, Walter Stechele: Binary-LoRAX: Low-power and Runtime Adaptable XNOR Classifier for Semi-Autonomous Grasping with Prosthetic Hands. International Conference on Robotics and Automation (ICRA), 2021 mehr… BibTeX
  • Nael Fasfous, Manoj-Rohit Vemparala, Alexander Frickenstein, Lukas Frickenstein, Mohamed Badawy, Walter Stechele: BinaryCoP: Binary Neural Network-based COVID-19 Face-Mask Wear and Positioning Predictor on Edge Devices. IEEE International Parallel & Distributed Processing Symposium, Reconfigurable Architectures Workshop (IPDPS-RAW), 2021 mehr… BibTeX
  • Oliver Lenke, Richard Petri, Thomas Wild, Andreas Herkersdorf: PEPERONI: Pre-Estimating the Performance of Near-Memory Integration. MEMSYS'21: The International Symposium on Memory Systems, 2021 mehr… BibTeX
  • Simon Conrady, Arne Kreddig, Manu Manuel, Nguyen Anh Vu Doan, Walter Stechele: Model-based design space exploration for FPGA-based image processing applications employing parameterizable approximations. Microprocessors and Microsystems, 2021, 104386 mehr… BibTeX Volltext ( DOI )
  • Sven Rheindt, Akshay Srivatsa, Oliver Lenke, Lars Nolte, Thomas Wild, Andreas Herkersdorf: Tackling the MPSoC Data Locality Challenge – Part 2 / Chapter 5. In: Multi-Processor System-on-Chip 1. Wiley Online Library, 2021, 87-114 mehr… BibTeX
  • Victor M. van Santen, Hussam Amrouch, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: Thermal Management and Communication Virtualization for Reliability Optimization in MPSoCs. In: Dependable Embedded Systems . Springer, 2021, pp 181-205 mehr… BibTeX Volltext ( DOI )

2020

  • Akshay Srivatsa, Mostafa Mansour, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf: DynaCo: Dynamic Coherence Management for Tiled Manycore Architectures. International Journal of Parallel Programming, 2020 mehr… BibTeX
  • Akshay Srivatsa, Sebastian Nagel, Nael Fasfous, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: HyVE: A Hybrid Voting-based Eviction Policy for Caches. IEEE Nordic Circuits and Systems Conference (NorCAS 2020), 2020 mehr… BibTeX
  • Alexander Frickenstein , Manoj-Rohit Vemparala , Jakob Mayr , Naveen-Shankar Nagaraja, Christian Unger, Frederico Tombari, Walter Stechele: Binary DAD-Net: Binarized Driveable Area Detection Network for Autonomous Driving. International Conference on Robotics and Automation (ICRA 2020), 2020 mehr… BibTeX
  • Alexander Frickenstein, Manoj-Rohit Vemparala, Nael Fasfous, Laura Hauenschild, Naveen-Shankar Nagaraja, Christian Unger, and Walter Stechele: ALF: Autoencoder-based Low-rank Filter-sharing for Efficient Convolutional Neural Networks. The Design Automation Conference (DAC), 2020 mehr… BibTeX
  • Andreas Oeldemann, Franz Biersack, Thomas Wild, Andreas Herkersdorf: Inter-Server RSS: Extending Receive Side Scaling for Inter-Server Workload Distribution. 28th Euromicro International Conference on Parallel, Distributed and Network-based Processing, 2020 mehr… BibTeX
  • Eberle Andrey Rambo; Bryan Donyanavard; Minjun Seo; Florian Maurer; Thawra Mohammad Kadeed; Caio Batista De Melo; Biswadip Maity; Anmol Surhonne; Andreas Herkersdorf; Fadi Kurdahi; Nikil D. Dutt; Rolf Ernst: The Self-Aware Information Processing Factory Paradigm for Mixed-Critical Multiprocessing. IEEE Transactions on Emerging Topics in Computing, 2020, 1-1 mehr… BibTeX Volltext ( DOI )
  • Florian Maurer, Bryan Donyanavard, Amir M. Rahmani, Nikil Dutt, Andreas Herkersdorf: Emergent Control of MPSoC Operation by a Hierarchical Supervisor / Reinforcement Learning Approach. DATE 2020, 2020 mehr… BibTeX Volltext ( DOI )
  • Gabor Balazs, Walter Stechele: Neural Architecture Search for Automotive Grid Fusion Networks Under Embedded Hardware Constraints. IEEE International Conference On Machine Learning And Applications (ICMLA 2020), 2020 mehr… BibTeX
  • Heba Khdr, Muhammad Shafique, Santiago Pagani, Andreas Herkersdorf, Jörg Henkel: Combinatorial Auctions for Temperature-Constrained Resource Management in Manycores. In: IEEE Transactions on Parallel and Distributed Systems. IEEE, 2020, 1605 - 1620 mehr… BibTeX
  • Jun Yan, Zifeng Peng, Huilin Yin, Jie Wang, Xiao Wang, Yuesong Shen, Walter Stechele, Daniel Cremers: Trajectory prediction for intelligent vehicles using spatial-attention mechanism. In: Journal of Intelligent Transport Systems. Taylor & Francis Group, 2020, p. 1855 – 1863 mehr… BibTeX Volltext ( DOI )
  • Korbinian Weikl, Damien Schroeder, Walter Stechele: Optimization of automotive color filter arrays for traffic light color separation. Color and Imaging Conference, CIC 2020 virtual, 2020 mehr… BibTeX
  • Manoj Rohit Vemparala, Nael Fasfous, Alexander Frickenstein, Mhd Ali Moraly, Aquib Jamal, Lukas Frickenstein, Christian Unger, Naveen Shankar Nagaraja, Walter Stechele: L2PF - Learning to Prune Faster. International Conference on Computer Vision & Image Processing (CVIP), 2020 mehr… BibTeX
  • Manu Manuel, Arne Kreddig, Simon Conrady, Nguyen Anh Vu Doan, Walter Stechele: Model-Based Design Space Exploration for Approximate Image Processing on FPGA. 2020 IEEE Nordic Circuits and Systems Conference (NorCAS), 2020 mehr… BibTeX Volltext ( DOI )
  • Mark Sagi, Nguyen Anh Vu Doan, Martin Rapp, Thomas Wild, Jörg Henkel, Andreas Herkersdorf: A Lightweight Nonlinear Methodology to Accurately Model Multi-Core Processor Power. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020 mehr… BibTeX Volltext ( DOI )
  • Mark Sagi, Nguyen Anh Vu Doan, Nael Fasfous, Thomas Wild, Andreas Herkersdorf: Fine-Grained Power Modeling of Multicore Processors using FFNNs. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XX), 2020 mehr… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: Exploring Task and Channel Mapping Strategies in Fail-Operational and Hard Real-Time NoCs. IEEE Nordic Circuits and Systems Conference (NorCAS 2020), 2020 mehr… BibTeX Volltext ( DOI )
  • Nael Fasfous, Manoj Rohit Vemparala, Alexander Frickenstein, Walter Stechele: OrthrusPE: Runtime Reconfigurable Processing Elements for Binary Neural Networks. 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020 mehr… BibTeX
  • Nguyen Anh Vu Doan, Akshay Srivatsa, Nael Fasfous, Sebastian Nagel, Thomas Wild, Andreas Herkersdorf: On-Chip Democracy: A Study on the Use of Voting Systems for Computer Cache Memory Management. International Conference on Industrial Engineering and Engineering Management (IEEM), 2020 mehr… BibTeX
  • Nguyen Anh Vu Doan, Manu Manuel, Simon Conrady, Arne Kreddig, Walter Stechele: Parameter Optimization of Approximate Image Processing Algorithms in FPGAs. 2020 Eighth International Symposium on Computing and Networking (CANDARW), 2020 mehr… BibTeX Volltext ( DOI )
  • Sven Rheindt, Andreas Fried, Oliver Lenke, Lars Nolte, Temur Sabirov, Tim Twardzik, Thomas Wild, Andreas Herkersdorf: X-CEL: A Method to Estimate Near-Memory Acceleration Potential in Tile-based MPSoCs. ARCS 2020 - 33rd International Conference on Architecture of Computing Systems, 2020 mehr… BibTeX
  • Sven Rheindt, Sebastian Maier, Nora Pohle, Lars Nolte, Oliver Lenke, Florian Schmaus, Thomas Wild, Wolfgang Schröder-Preikschat, Andreas Herkersdorf: DySHARQ: Dynamic Software-Defined Hardware-Managed Queues for Tile-Based Architectures. International Journal of Parallel Programming, 2020 mehr… BibTeX Volltext ( DOI )
  • Sven Rheindt, Temur Sabirov, Oliver Lenke, Thomas Wild, Andreas Herkersdorf: X-Centric: A Survey on Compute-, Memory- and Application-Centric Computer Architectures. MEMSYS'20: The International Symposium on Memory Systems , 2020 mehr… BibTeX
  • Yong Hu, Marcel Mettler, Daniel Mueller-Gritschneder, Thomas Wild, Andreas Herkersdorf, Ulf Schlichtmann: Machine Learning Approaches for Efficient Design Space Exploration of Application-specific NoCs. ACM Transactions on Design Automation of Electronic Systems (TODAES), 2020 mehr… BibTeX Volltext ( DOI )

2019

  • Ala’ F. Khalifeh, Nael Fasfous, Ramzi Theodory, Serina Giha, Khalid A. Darabkh: On the Effect of Light Emitting Diodes Positions on the Performance of an Indoor Visible Light Communication System. 2019 IEEE Conference of Russian Young Researchers in Electrical and Electronic Engineering (EIConRus), 2019 mehr… BibTeX Volltext ( DOI )
  • Akshay Srivatsa, Sven Rheindt, Dirk Gabriel, Thomas Wild, Andreas Herkersdorf: CoD: Coherence-on-Demand - Runtime Adaptable Working Set Coherence for DSM-based Manycore Architectures. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX) , 2019 mehr… BibTeX
  • Alexander Frickenstein, Christian Unger, Walter Stechele: Resource-Aware Multicriterial Optimization of DNNs for Low-Cost Embedded Applications. Conference on Computer and Robot Vision, 2019 mehr… BibTeX
  • Alexander Frickenstein, MR Vemparala, C. Unger, F. Ayar, W. Stechele: DSC: Dense-Sparse Convolution for Vectorized Inference of Convolutional Neural Networks. Safe Artificial Intelligence for Automated Driving (SAIAD), 2019 mehr… BibTeX
  • Dirk Gabriel, Walter Stechele, and Stefan Wildermann: Resource-Aware Parameter Tuning for Real-Time Applications. ARCS Konferenz , 2019 mehr… BibTeX
  • Dominik Scholz, Andreas Oeldemann, Fabien Geyer, Sebastian Gallenmüller, Henning Stubbe, Thomas Wild, Andreas Herkersdorf, Georg Carle: Cryptographic Hashing in P4 Data Planes. 2nd P4 Workshop in Europe (EUROP4), 2019 mehr… BibTeX
  • Donyanavard, Bryan; Sadighi, Armin; Maurer, Florian; Mück, Tiago; Rahmani, Amir M.; Herkersdorf, Andreas; Dutt, Nikil: SOSA: Self-Optimizing Learning with Self-Adaptive Control for Hierarchical System-on-Chip Management. Proceedings of the 52Nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '52), ACM, 2019 mehr… BibTeX Volltext ( DOI )
  • Gabor Balazs, Walter Stechele: Deep Grid Fusion of Feature-Level Sensor Data with Convolutional Neural Networks. The 8th IEEE International Conference on Connected Vehicles and Expo (ICCVE), 2019 mehr… BibTeX
  • Manoj Rohit Vemparala, Alexander Frickenstein, and Walter Stechele: An Efficient FPGA Accelerator Design for Optimized CNNs using OpenCL. ARCS Konferenz, 2019 mehr… BibTeX
  • Mark Sagi, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Multicore Power Estimation using Independent Component Analysis based Modeling. IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (IEEE MCSoC-2019), 2019 mehr… BibTeX
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Channel Mapping Strategies for Effective Protection Switching in Fail-Operational Hard Real-Time NoCs. Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2019 mehr… BibTeX Volltext ( DOI )
  • Max Koenen, Nguyen Anh Vu Doan, Thomas Wild, and Andreas Herkersdorf: A Hybrid NoC Enabling Fail-Operational and Hard Real-Time Communication in MPSoC. ARCS Konferenz, 2019 mehr… BibTeX Volltext ( DOI )
  • Michael Vonbun, Adrian Schiechel, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: APEC: Improved Acknowledgement Prioritization through Erasure Coding in Bufferless NoCs. 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2019), 2019 mehr… BibTeX Volltext ( DOI )
  • Michael Vonbun, Nguyen Anh Vu Doan, Thomas Wild, Andreas Herkersdorf: Network Coding in Networks-on-Chip with Lossy Links. ARCS Konferenz, 2019 mehr… BibTeX
  • Nguyen Anh Vu Doan, Max Koenen, Thomas Wild, Andreas Herkersdorf: Multi-Objective Optimization of Channel Mapping for Fail-Operational Hybrid TDM NoCs. 2019 Seventh International Symposium on Computing and Networking (CANDARW), 2019 mehr… BibTeX
  • Rambo, Eberle A.; Donyanavard, Bryan; Seo, Minjun; Maurer, Florian; Kadeed, Thawra; de Melo, Caio B.; Maity, Biswadip; Surhonne, Anmol; Herkersdorf, Andreas; Kurdahi, Fadi; Dutt, Nikil; Ernst, Rolf: The Information Processing Factory: Organization, Terminology, and Definitions. , 2019 mehr… BibTeX
  • Rambo, Eberle A.; Kadeed, Thawra; Ernst, Rolf; Seo, Minjun; Kurdahi, Fadi; Donyanavard, Bryan; de Melo, Caio Batista; Maity, Biswadip; Moazzemi, Kasra; Stewart, Kenneth; Yi, Saehanseul; Rahmani, Amir M.; Dutt, Nikil; Maurer, Florian; Doan, Nguyen Anh Vu; Surhonne, Anmol; Wild, Thomas; Herkersdorf, Andreas: The Information Processing Factory: A Paradigm for Life Cycle Management of Dependable Systems. ESweek, 2019 mehr… BibTeX Volltext ( DOI )
  • Simon Conrady, Manu Manuel, Arne Kreddig, Walter Stechele: LCS-Based Automatic Configuration of Approximate Computing Parameters for FPGA System Designs. Proceedings of the Genetic and Evolutionary Computation Conference Companion (GECCO '19), 2019, 1271 -- 1279 mehr… BibTeX Volltext ( DOI )
  • Sven Rheindt, Andreas Fried, Oliver Lenke, Lars Nolte, Thomas Wild, Andreas Herkersdorf: NEMESYS: Near-Memory Graph Copy Enhanced System-Software. MEMSYS 19: The International Symposium on Memory Systems, 2019 mehr… BibTeX
  • Sven Rheindt, Sebastian Maier, Florian Schmaus, Thomas Wild, Wolfgang Schröder-Preikschat, Andreas Herkersdorf: SHARQ: Software-Defined Hardware-Managed Queues for Tile-Based Manycore Architectures. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), 2019 mehr… BibTeX
  • Thomas Goldbrunner, Nguyen Anh Vu Doan, Diogo Poças, Thomas Wild, Andreas Herkersdorf: Register Requirement Minimization of Fixed-Depth Pipelines for Streaming Data Applications. 2019 32nd IEEE International System-on-Chip Conference (SOCC), 2019 mehr… BibTeX
  • Zhuangyi Jiang, Pengfei XIA, Kai Huang, Walter Stechele, Guang Chen, Zhenshan Bing, Alois Knoll: Mixed Frame-/Event-Driven Fast Pedestrian Detection. ICRA Konferenz, 2019 mehr… BibTeX

2018

  • Mischa Möstl, Johannes Schlatow, Rolf Ernst, Nikil Dutt, Ahmed Nassar, Amir Rahmani, Fadi J. Kurdahi, Thomas Wild, Armin Sadighi, Andreas Herkersdorf: Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS. IEEE, 2018 Proceedings of the IEEE mehr… BibTeX
  • Ala’ F. Khalifeh, Nael Fasfous, Ramzi Theodory, Serina Giha, Khalid A. Darabkh: An Experimental Evaluation and Prototyping for Visible Light Communication. Computers & Electrical Engineering Journal, Elsevier, 2018 mehr… BibTeX Volltext ( DOI )
  • Andreas Oeldemann, Thomas Wild, Andreas Herkersdorf: FlueNT10G: A Programmable FPGA-based Network Tester for Multi-10-Gigabit Ethernet. International Conference on Field-Programmable Logic and Applications (FPL), 2018 mehr… BibTeX
  • Armin Sadighi, Bryan Donyanavard, Thawra Kadeed, Kasra Moazzemi, Tiago Mück, Ahmed Nassar, Amir M. Rahmani, Thomas Wild, Nikil Dutt, Rolf Ernst, Andreas Herkersdorf, Fadi Kurdahi: Design methodologies for enabling self-awareness in autonomous systems. 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2018 mehr… BibTeX
  • Armin Sadighi, Thomas Wild, Andreas Herkersdorf: Self-Aware MPSoC Architecture Extensions for Automotive Applications. In: Organic Computing. Kassel University Press GmbH, 2018 mehr… BibTeX Volltext ( DOI )
  • Gerard Vives Vallduriola, Tim Helfers, Franz Biersack, Steffen Linssen, Dr. Jens Utzmann, Alessandro Vananti: THE USE OF DIFFERENT ARCHITECTURES AND STREAK OBSERVATIONS ALGORITHMS TO DETECT SPACE DEBRIS. 6th International Workshop on On-Board Payload Data Compression, 2018 mehr… BibTeX
  • Guang Chen, Hu Cao, Muhammad Aafaque, Jieneng Chen, Canbo Ye, Florian Röhrbein, Jörg Conradt, Kai Chen, Zhenshan Bing, Xingbo Liu, Gereon Hinz, Walter Stechele, Alois Knoll: Neuromorphic Vision Based Multivehicle Detection and Tracking for Intelligent Transportation System. Journal of Advanced Transportation (Volume 2018), 2018 mehr… BibTeX Volltext ( DOI )
  • Leonard Masing, Akshay Srivatsa, Fabian Kress, Nidhi Anantharajaiah, Andreas Herkersdorf, Juergen Becker: In-NoC-circuits for low-latency cache coherence in distributed shared-memory architectures. 2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018 mehr… BibTeX
  • Sebastian Vogel, Mengyu Liang, Andre Guntoro, Walter Stechele, Gerd Ascheid: Efficient Hardware Acceleration of CNNs using Logarithmic Data Representation with Arbitrary log-base. International Conference On Computer Aided Design (ICCAD), 2018 mehr… BibTeX
  • Shiva Shanka Subramanian, Lin PinXing, Andreas Herkersdorf, Thomas Wild: BiSME: A Hardware Coprocessor to Perform Signature Matching at Multi-Gigabit Rates. Application-Specific Systems, Architectures and Processors (ASAP) , 2018 mehr… BibTeX
  • Shiva Shankar Subramanian, PinXing Lin, Andreas Herkersdorf, Thomas Wild: Bitmaps & Bitmasks: Efficient Tools to Compress Deterministic Automata. Australian Journal of Telecommunications and the Digital Economy Vol 6 (No 3), 2018 mehr… BibTeX Volltext ( DOI )
  • Stefan Tabel, Johannes Treis, Walter Stechele:: Non-blind deconvolution of the residual tip-tilt error of the Sunrise solar observatory. SPIE Astronomical Telescopes + Instrumentation Conference, Proceedings of SPIE Vol. 10705, 2018 mehr… BibTeX
  • Sven Rheindt, Andreas Schenk, Akshay Srivatsa, Thomas Wild, Andreas Herkersdorf: CaCAO: Complex and Compositional Atomic Operations for NoC-based Manycore Platforms. ARCS 2018 - 31st International Conference on Architecture of Computing Systems, 2018 mehr… BibTeX
  • Thomas Goldbrunner, Thomas Wild, Andreas Herkersdorf: Memory Access Pattern Profiling for Streaming Applications Based on MATLAB Models. 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018 mehr… BibTeX Volltext ( DOI )

2017

  • Ala' F. Khalifeh, Nael Fasfous, Ramzi Theodory, Serina Giha: An Experimental Evaluation of Visible Light Communication Utilizing Telecommunications Instructional Modelling System. 2017 International Conference on Wireless Communications, Signal Processing and Networking (WiSPNET), 2017 mehr… BibTeX Volltext ( DOI )
  • Akshay Srivatsa, Sven Rheindt, Thomas Wild, Andreas Herkersdorf: Region Based Cache Coherence for Tiled MPSoCs. 2017 30th IEEE International System-on-Chip Conference (SOCC), 2017 mehr… BibTeX
  • Andreas Oeldemann, Thomas Wild, Andreas Herkersdorf: Reducing Data Center Resource Over-Provisioning Through Dynamic Load Management for Virtualized Network Functions. International Conference on Architecture of Computing Systems, 2017 mehr… BibTeX Volltext ( DOI )
  • Aurang Zaib, Thomas Wild, Andreas Herkersdorf, Jan Heisswolf, Jürgen Becker, Andreas Weichslgartner, Jürgen Teich: Efficient Task Spawning for Shared Memory and Message Passing in Many-core Architectures. Journal of Systems Architecture, 2017 mehr… BibTeX Volltext ( DOI )
  • Biao Hu, Uzair Sharif, Rajat Koner, Guang Chen, Kai Huang, Feihu Zhang, Walter Stechele, Alois Knoll: Random Finite Set Based Bayesian Filtering with OpenCL in a Heterogeneous Platform. Sensors - Open Access Journal, 2017 mehr… BibTeX Volltext ( DOI )
  • Erol Koser, Walter Stechele: A Long Duration Transient Resilient Pipeline Scheme. IEEE Transactions on Device and Materials Reliability 17 (1), 2017 mehr… BibTeX Volltext ( DOI )
  • Gereon Hinz, Guang Chen, Muhammad Aafaque, Florian Röhrbein, Jörg Conradt, Zhenshan Bing, Zhongnan Qu, Walter Stechele, Alois Knoll: Online Multi-Object Tracking-by-Clustering for Intelligent Transportation System with Neuromorphic Vision Sensor. German Conference on Artificial Intelligence, 2017 mehr… BibTeX
  • Ihsen Alouani, Thomas Wild, Andreas Herkersdorf, Smail Niar: Adaptive Reliability for Fault Tolerant Multicore Systems. Euromicro Conference on Digital System Design (DSD) 2017 , 2017 mehr… BibTeX Volltext ( DOI )
  • Lin Li, Philipp Wagner, Albrecht Mayer, Thomas Wild, Andreas Herkersdorf: A Non-Intrusive Spinlock Profiler for Embedded Multicore Systems. DATE, 2017 mehr… BibTeX
  • Philipp Wagner, Thomas Wild, Andreas Herkersdorf: DiaSys: Improving SoC insight through on-chip diagnosis. Journal of Systems Architecture, 2017 mehr… BibTeX Volltext ( DOI )
  • Shiva Shanka Subramanian, Lin PinXing, Andreas Herkersdorf, Thomas Wild: A Divide and Conquer State Grouping Method for Bitmap Based Transition. 18th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT’17), 2017 mehr… BibTeX
  • Stefan Tabel, Korbinian Weikl, Walter Stechele: Hardware-accelerated CCD readout smear correction for Fast Solar Polarimeter. International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2017 mehr… BibTeX
  • Stefan Tabel, Walter Stechele: Fast computation of readout smear correction for framestore CCD based images. International Conference on Computer Graphics and Digital Image Processing (CGDIP), 2017 mehr… BibTeX
  • Wiede, Christian; Richter, Julia; Manuel, Manu; Hirtz, Gangolf: Remote Respiration Rate Determination in Video Data - Vital Parameter Extraction based on Optical Flow and Principal Component Analysis. Proceedings of the 12th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications - VISAPP, (VISIGRAPP 2017), SCITEPRESS - Science and Technology Publications, 2017, 326-333 mehr… BibTeX Volltext ( DOI )

2016

  • Andre Richter, Christian Herber, Thomas Wild, Andreas Herkersdorf: Resolving Performance Interference in SR-IOV Setups with PCIe Quality-of-Service Extensions. 2016 Euromicro Conference on Digital System Design (DSD), 2016 mehr… BibTeX
  • David May, Walter Stechele: Voltage Over-Scaling in Sequential Circuits for Approximate Computing. Design &Technology of Integrated Systems in Nanoscale Era, 2016 mehr… BibTeX
  • Erol Koser, Korbinian Berthold, Ravi Kumar Pujari, Walter Stechele: A Chip-level Redundant Threading (CRT) Scheme for Shared-Memory Protection. Int. Conference on High Performance Computing & Simulation (HPCS) 2016, 2016 mehr… BibTeX
  • Erol Koser, Sebastian Krösche, Walter Stechele: Integrated Soft Error Resilience and Self-Test. IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2016, 2016 mehr… BibTeX
  • Erol Koser, Walter Stechele: Tackling Long Duration Transients in Sequential Logic. IEEE Int. Symp. on On-Line Testing and Robust System Design (IOLTS) 2016, 2016 mehr… BibTeX
  • Haifa Ben Salem, Thyagaraju Damarla, Kishan Sudusinghe, Walter Stechele, Shuvra S. Bhattacharyya: Adaptive tracking of people and vehicles using mobile platforms. EURASIP Journal on Advances in Signal Processing, 2016 mehr… BibTeX Volltext ( DOI )
  • Hou Zhao Qi Rex, Jong Ching Chuen, Andreas Herkersdorf: ANN Predicted Apps-Usage Aware Linux Scheduler for Asymmetrical Multi Cluster SoC. Journal of Software Voume 11 (Number 7), 2016, 623-630 mehr… BibTeX Volltext ( DOI )
  • Jagath Weerasinghe, Francois Abel, Christoph Hagleitner, Andreas Herkersdorf: Disaggregated FPGAs: Network Performance Comparison against Bare-Metal Servers, Virtual Machines and Linux Containers. 8th IEEE International Conference on Cloud Computing Technology and Science, IEEE, 2016 mehr… BibTeX
  • Kyunghun Lee, Haifa Ben Salem, Thyagaraju Damarla, Kishan Sudusinghe, Walter Stechele, Shuvra S. Bhattacharyya: Prototyping Real-Time Tracking Systems on Mobile Devices. Proceedings of the ACM International Conference on Computing Frontiers, 2016, 301-308 mehr… BibTeX
  • Lin Li, Philipp Wagner, Ramesh Ramaswamy, Albrecht Mayer, Thomas Wild, Andreas Herkersdorf: A Rule-based Methodology for Hardware Configuration Validation in Embedded Systems. 19th International Workshop on Software and Compilers for Embedded Systems (SCOPES 2016), 2016 mehr… BibTeX
  • Michael Vonbun, Thomas Wild, Andreas Herkersdorf: Estimation of End-to-End Packet Error Rates for NoC Multicasts. Architecture of Computing Systems -- ARCS 2016, Springer International Publishing, 201629th International Conference, Nuremberg, Germany, April 4-7, 2016, Proceedings mehr… BibTeX Volltext ( DOI )
  • Philipp Wagner, Lin Li, Thomas Wild, Albrecht Mayer, Andreas Herkersdorf: What happens on an MPSoC stays on an MPSoC - unfortunately! 2016 International Symposium on Integrated Circuits (ISIC), 2016 mehr… BibTeX Volltext ( DOI )
  • Philipp Wagner, Thomas Wild, Andreas Herkersdorf: DiaSys: On-Chip Trace Analysis for Multi-processor System-on-Chip. Architecture of Computing Systems -- ARCS 2016 (Springer Lecture Notes 9637), Springer International Publishing, 2016, 197-209 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf: TCU: A Multi-Objective Hardware Thread Mapping Unit for HPC Clusters. International Supercomputing Conference High Performance -- ISC 2016, 2016 mehr… BibTeX
  • Sabine Ott, R. Andritschke, Alexander Bähr, Norbert Meidinger, J. Müller-Seidlitz, Markus Plattner, Walter Stechele, W. Treberspurg: Modeling of DEPFET based X-ray Detectors for Athena’s Wide Field Imager. IEEE Nuclear Science Symposium, 2016 mehr… BibTeX
  • Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Éricles Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel: Dark silicon management: an integrated and coordinated cross-layer approach. it - Information Technology 58 (6), 2016, 297–307 mehr… BibTeX Volltext ( DOI )
  • Shiva Shankar Subramanian, Pinxing Lin, Andreas Herkersdorf, Thomas Wild: Hardware Acceleration of Signature Matching through Multi­ Layer Transition Bit Masking. ITNAC 2016, International Telecommunication Networks and Applications Conference, 2016, 226-233 mehr… BibTeX
  • Stefan Rösch, Holm Rauchfuss, Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: MPSoC application resilience by hardware-assisted communication virtualization. Microelectronics Reliability, 2016 mehr… BibTeX Volltext ( DOI )
  • Stefan Wildermann, Michael Bader, Lars Bauer, Marvin Damschen, Dirk Gabriel, Michael Gerndt, Michael Glaß, Jörg Henkel, Johny Paul, Alexander Pöppl, Sascha Roloff, Tobias Schwarzer, Gregor Snelting, Walter Stechele, Jürgen Teich, Andreas Weichslgartner, Andreas Zwinkau: Invasive computing for timing-predictable stream processing on MPSoCs. it - Information Technology, 2016 mehr… BibTeX Volltext ( DOI )
  • Zhaoqi Hou, Ching Chuen Jong, Andreas Herkersdorf: Linux Apps-Usage-Driven Power Dissipation-Aware Scheduler. ISCAS - International Symposium on Circuits and Systems, 2016 mehr… BibTeX

2015

  • Andre Richter, Christian Herber, Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: A Hardware/Software Approach for Mitigating Performance Interference Effects in Virtualized Environments Using SR-IOV. Cloud Computing (CLOUD), 2015 IEEE 8th International Conference on, 2015 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Andre Richter, Christian Herber, Thomas Wild, Andreas Herkersdorf: Denial-of-Service attacks on PCI passthrough devices: Demonstrating the impact on network- and storage-I/O performance. Journal of Systems Architecture 61 (10), 2015, 592 - 599 mehr… BibTeX Volltext ( DOI )
  • Andreas Weichslgartner, Jan Heisswolf, Aurang Zaib, Thomas Wild, Andreas Herkersdorf, Jürgen Becker and Jürgen Teich: Position Paper: Towards Hardware-Assisted Decentralized Mapping of Applications for Heterogeneous NoC Architectures. Second International Workshop on Multi-objective Many-core design (MOMAC), 2015 mehr… BibTeX
  • Aurang Zaib, Jan Heisswolf, Andreas Weichslgartner, Thomas Wild, Jürgen Teich, Jürgen Becker, Andreas Herkersdorf: Network Interface with Task Spawning Support for NoC-Based DSM Architectures. Architecture of Computing Systems--ARCS 2015, 2015 mehr… BibTeX
  • Christian Herber, Ammar Saeed, Andreas Herkersdorf: Design and Evaluation of a Low-Latency AVB Ethernet Endpoint based on ARM SoC. ​The 12th IEEE International Conference on Embedded Software and Systems, 2015 mehr… BibTeX Volltext (mediaTUM)
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: Real-Time Capable CAN to AVB Ethernet Gateway Using Frame Aggregation and Scheduling. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015 mehr… BibTeX Volltext (mediaTUM)
  • Christian Herber, Dominik Reinhardt, Andre Richter, Andreas Herkersdorf: HW/SW Trade-Offs in I/O Virtualization for Controller Area Network. 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), 2015 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Daniel Münch, Michael Paulitsch, Andreas Herkersdorf: IOMPU: Spatial Separation for Hardware-Based I/O Virtualization for Mixed-Criticality Embedded Real-Time Systems Using Non-Transparent Bridges. International Conference on Embedded Software and Systems (ICESS2015), 2015 mehr… BibTeX
  • Daniel Münch, Michael Paulitsch, Andreas Herkersdorf: IOMPU: Spatial Separation for Hardware-Based I/O Virtualization for Mixed-Criticality Embedded Real-Time Systems Using Non-Transparent Bridges. International Conference on Embedded Software and Systems (ICESS2015), 2015 mehr… BibTeX
  • Daniel Münch, Michael Paulitsch, Andreas Herkersdorf: Monitoring of I/O for Safety-Critical Systems Using PCI Express Advanced Error Reporting. SIES'2015: 10th IEEE International Symposium on Industrial Embedded Systems, 2015 mehr… BibTeX
  • Daniel Münch, Michael Paulitsch, Oliver Hanka, Andreas Herkersdorf: MPIOV: Scaling Hardware-Based I/O Virtualization for Mixed-Criticality Embedded Real-Time Systems Using Non Transparent Bridges to (Multi-Core) Multi-Processor Systems. Design, Automation and Test in Europe Conference & Exhibition (DATE), 2015 mehr… BibTeX
  • Daniel Münch, Michael Paulitsch, Oliver Hanka, Andreas Herkersdorf: SgInt: Safeguarding Interrupts for Hardware-Based I/O Virtualization for Mixed-Criticality Embedded Real-Time Systems Using Non Transparent Bridges. International Conference on Architecture of Computing Systems (ARCS), 2015 mehr… BibTeX
  • David May, Walter Stechele: Design of Fine-grained Sequential Approximate Circuits using Probability-aware Fault Emulation. International Symposium on Low Power Electronics and Design, 2015 mehr… BibTeX
  • Dominik Juergens, Dominik Reinhardt, Rolf Michael Schneider, Georg Hofstetter, Udo Dannebaum, Andreas Graf: Implementing Mixed Criticality Software Integration On Multicore – A Cost Model And The Lessons Learned. SAE 2015 World Congress & Exhibition, 2015 mehr… BibTeX
  • Erol Koser, Benno Stabernack: A run-time reconfigurable NoC Monitoring System for performance analysis and debugging support. PARS-Workshop 2015, 2015 mehr… BibTeX
  • Erol Koser, Felix Miller, Walter Stechele: Matching Detection and Correction Schemes for Soft Error Handling in Sequential Logic. Euromicro Conference on Digital System Design (DSD), 2015 mehr… BibTeX
  • Gregor Walla, Dirk Gabriel, Alexander Reichert, Hans-Ulrich Michel, Walter Stechele, Andreas Herkersdorf: A Modular Design and Optimization Framework for Energy Efficient E/E Architectures. 4th International Conference on Energy Efficient Vehicles (ICEEV 2015), 2015 mehr… BibTeX
  • Haifa Ben Salem, Thyagaraju Damarla, Kishan Sudusinghe, Walter Stechele, Shuvra S. Bhattacharyya: Adaptive Tracking of People and Vehicles using mobile Platforms. EURASIP Journal on Advances in Signal Processing, 2015 mehr… BibTeX
  • Jagath Weerasinghe, Francois Abel, Christoph Hagleitner, Andreas Herkersdorf: Enabling FPGAs in Hyperscale Data Centers. IEEE International Conference on Cloud and Big Data Computing (CBDCom), 2015 mehr… BibTeX
  • Jan Frost, Walter Stechele, Erik Maehle: Self-reconfigurable control architecture for complex mobile robots. Information Technology Journal, 2015 mehr… BibTeX Volltext ( DOI )
  • Johny Paul, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Manfred Kröhnert, Daniel Lohmann, Walter Stechele, Tamim Asfour, Wolfgang Schröder-Preikschat, ÉR Sousa, Vahid Lari, Frank Hannig, Jürgen Teich: Resource awareness on heterogeneous mpsocs for image processing. Journal of Systems Architecture, 2015 mehr… BibTeX Volltext ( DOI )
  • Johny Paul, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Manfred Kröhnert, Daniel Lohmann, Walter Stechele, Tamim Asfour, and Wolfgang Schröder-Preikschat: Self-adaptive corner detection on mpsoc through resource-aware programming. Journal of Systems Architecture, 2015 mehr… BibTeX Volltext ( DOI )
  • Lars Bauer, Jörg Henkel, Andreas Herkersdorf, Michael A. Kochte, Johannes M. Kühn, Wolfgang Rosenstiel, Thomas Schweitzer, Stefan Wallentowitz, Volker Wenzel, Thomas Wild, Hans-Joachim Wunderlich, Hongyan Zhang: Adaptive multi-layer techniques for increased system dependability. it - Information Technology 57 (3), 2015 mehr… BibTeX Volltext ( DOI )
  • Michael Vonbun, Stefan Wallentowitz, Andreas Oeldemann, Andreas Herkersdorf: An Analytic Approach on End-to-end Packet Error Rate Estimation for Network-on-Chip. Euromicro Conference on Digital System Design (DSD), 2015 mehr… BibTeX
  • Philipp Wagner, Lin Li, Thomas Wild, Albrecht Mayer, Andreas Herkersdorf: Knowledge-Based On-Chip Diagnosis for Multi-Core Systems-on-Chip. edaWorkshop 15, 2015, 39-45 mehr… BibTeX Volltext (mediaTUM)
  • Preethi Parayil Mana Damodaran, Aurang Zaib, Thomas Wild, Stefan Wallentowitz, Andreas Herkersdorf: Sharer Status-based Caching in tiled Multiprocessor Systems-on-Chip. HPC 2015, 2015 mehr… BibTeX
  • Raphael Polig, Heiner Giefers, Walter Stechele: A soft-core processor array for relational operators. 26th IEEE International Conference on Application-specific Systems, Architectures and Processors (IEEE ASAP), 2015 mehr… BibTeX
  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf: A Hardware-based Multi-objective Thread Mapper for Tiled Manycore Architectures. 33rd IEEE International Conference on Computer Design (ICCD), 2015 mehr… BibTeX Volltext ( DOI )
  • Sabine Ott, Alexander Bähr, Thorsten Brand, Thomas Dauser, Norbert Meidinger, Markus Plattner, Walter Stechele: New evaluation concept of the Athena WFI camera system by emulation of X-ray DEPFET detectors. 17th International Workshop on Radiation Imaging Detectors, 2015 mehr… BibTeX
  • Tamara Seybold, M. Plichta, Walter Stechele: Improving wavelet denoising based on an in-depth analysis of the camera color processing. SPIE 9400, Real-Time Image and Video Processing, 2015 mehr… BibTeX
  • Thomas Hach, Tamara Seybold, H. Boettcher: Phase-aware candidate selection for time-of- ight depth map denoising. In: IS&T/SPIE Electronic Imaging, Three-Dimensional Image Processing, Measurement, and Applications. Springer, 2015, pages 93930E{93930E{9 mehr… BibTeX
  • Walter Stechele: Protecting FPGA-based Automotive Systems against Soft Errors through Reduced Precision Redundancy. IEEE International Symposium on Industrial Embedded Systems (SIES), 2015 mehr… BibTeX

2014

  • Andre Richter, Christian Herber, Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Performance Isolation Exposure in Virtualized Platforms with PCI Passthrough I/O Sharing. ARCS - Architecture of Computing Systems, 2014 mehr… BibTeX Volltext (mediaTUM)
  • Andreas Herkersdorf, Hananeh Aliee, Michael Engel, Michael Glaß, Christina Gimmler-Dumont, Jörg Henkel, Veit Kleeberger, Michael Kochte, Johannes Maximilian Kühn, Daniel Müller-Gritschneder, Sani Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich: Resilience Articulation Point (RAP): Cross-layer ependability modeling for nanometer system-on-chip resilience. Microelectronics Reliability Volume 56 (Issues 6-7), 2014, pp 1066-1074 mehr… BibTeX Volltext ( DOI )
  • Andy Heinig, Manfred Dietrich, Andreas Herkersdorf, Felix Miller, Thomas Wild, Kai Hahn, Armin Grünewald, Rainer Brück, Steffen Krohnert, Jochen Reisinger: System Integration - The Bridge between More than Moore and More Moore Design. Design Automation and Test in Europe (DATE), Friday Workshop on 3D Integration, 2014 mehr… BibTeX
  • Aurang Zaib, Prashanth Raju, Thomas Wild, Andreas Herkersdorf: A Layered Modeling and Simulation Approach to investigate Resource-aware Computing in MPSoCs. Resource awareness and adaptivity in multi-core computing, RACING, First Workshop, 2014 mehr… BibTeX
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: Deadline-Aware Interrupt Coalescing in Controller Area Network (CAN). The 11th IEEE International Conference on Embedded Software and Systems, 2014 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Christian Herber, Andre Richter, Thomas Wild, Andreas Herkersdorf: A Network Virtualization Approach for Performance Isolation in Controller Area Network (CAN). The 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2014 mehr… BibTeX Volltext (mediaTUM)
  • Daniel Münch, Michael Paulitsch, Andreas Herkersdorf: Temporal Separation for Hardware-Based I/O Virtualization for Mixed-Criticality Embedded Real-Time Systems Using PCIe SR-IOV. 10th Workshop on Dependability and Fault Tolerance (VERFE), in conjunction with International Conference on Architecture of Computing Systems (ARCS), 2014 mehr… BibTeX
  • Daniel Münch, Michael Paulitsch, Michael Honold, Wolfgang Schlecker, Andreas Herkersdorf: Iterative FPGA Implementation Easing Safety Certification for Mixed-Criticality Embedded Real-Time Systems. Euromicro Conference on Digital Systems Design (DSD), 2014 mehr… BibTeX
  • David May, Walter Stechele: Improving the Significance of Probabilistic Circuit Fault Emulations. 20th IEEE International On-Line Testing Symposium (IOLTS), 2014 mehr… BibTeX
  • David May, Walter Stechele: Probabilistic Circuit Fault Emulation. edaWorkshop, 2014 mehr… BibTeX
  • Felix Miller, Vladimir Todorov, Thomas Wild, Daniel Müller-Gritschneder, Andreas Herkersdorf, Ulf Schlichtmann: A TSV-Property-aware Synthesis Method for Application-Specific 3D-NoCs Design. Design Automation and Test in Europe (DATE), Friday Workshop on 3D Integration, 2014 mehr… BibTeX
  • Gregor Walla, Andre Enger, Andreas Barthels, Hans-Ulrich Michel, Andreas Herkersdorf: An Automotive Specific MILP Model Targeting Power-Aware Function Partitioning. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), 2014Samos, Greece mehr… BibTeX
  • Gregor Walla, Andreas Barthels, Dirk Gabriel, Florian Ruf, Michael Winter, Hans-Ulrich Michel, Andreas Herkersdorf: Hardware Test Bench for the Evaluation of E/E-Architectures with Respect to Partitioning of Vehicle Functions and Energy Efficiency. 3rd International Conference on Energy Efficient Vehicles (ICEEV), 2014 mehr… BibTeX
  • Gregor Walla, Dirk Gabriel, Andreas Barthels, Florian Ruf, Michael Winter, Hans-Ulrich Michel, Andreas Herkersdorf: Automotive E/E Architecture Evaluation by High-level Simulation and Hardware Prototyping. DAC Workshop on System to Silicon Performance Modeling and Analysis, 2014 mehr… BibTeX
  • Hou Zhao Qi, Jong Ching Chuen Andreas Herkersdorf: Apps-Usage Driven Energy Management for Multicore Mobile Computing Systems. International Symposium on Integrated Circuits (ISIC), 2014 mehr… BibTeX
  • Jan Heisswolf, Aurang Zaib, Andreas Weichslgartner, Martin Karle, Maximilian Singh, Thomas Wild, Jürgen Teich, Andreas Herkersdorf, Jürgen Becker: The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure. Proceedings of the first International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS), 2014 mehr… BibTeX
  • Jan Heisswolf, Aurang Zaib, Andreas Zwinkau, Sebastian Kobbe, Andreas Weichslgartner, Jürgen Teich, Jörg Henkel, Gregor Snelting, Andreas Herkersdorf, Jürgen Becker: CAP: Communication Aware Programming. Design Automation Conference (DAC), 51th ACM / EDAC / IEEE, 2014 mehr… BibTeX
  • Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour: Resource-Aware Programming for Robotic Vision. First Workshop on Resource awareness and adaptivity in multi-core computing; co-located with IEEE European Test Symposium (ETS), 2014 mehr… BibTeX
  • Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour: Improving Efficiency of Embedded Multi-core Platforms with Scratchpad Memories. 1st International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS), 2014 mehr… BibTeX
  • Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Daniel Lohmann, Wolfgang Schröder-Preikschat: Resource-Aware Harris Corner Detection based on Adaptive Pruning. Architecture of Computing Systems (ARCS), 2014 mehr… BibTeX
  • Johny Paul, Walter Stechele, Éricles Rodrigues Sousa, Vahid Lari, Frank Hannig, Jürgen Teich, Manfred Kröhnert, Tamim Asfour: Self Adaptive Harris Corner Detection on Heterogeneous Many-core Processor. DASIP, 2014 mehr… BibTeX
  • M. Moeller, E. Brinkmann, M. Burger, Tamara Seybold: Color Bregman TV. SIAM Journal on Imaging Sciences,, 2014, 771{2806 mehr… BibTeX
  • Manfred Kröhnert, Nikolaus Vahrenkamp, Johny Paul, Walter Stechele, Tamim Asfour: Resource Prediction for Humanoid Robots. First Workshop on Resource awareness and adaptivity in multi-core computing; co-located with IEEE European Test Symposium (ETS), 2014 mehr… BibTeX
  • Michael Frischke, Andreas Rohatschek, Walter Stechele: Towards Low-Cost Fault Detection Strategy of FPGA Configuration Memory in Real-Time Systems. 20th IEEE International On-Line Testing Symposium (IOLTS), 2014 mehr… BibTeX
  • Oliver Sander, Timo Sandmann, Viet Vu Duy, Steffen Bähr, Falco Bapp, Jürgen Becker, Hans-Ulrich Michel, Dirk Kaule, Daniel Adam, Enno Lübbers, Jürgen Hairbucher, Andre Richter, Christian Herber, Andreas Herkersdorf: Hardware Virtualization Support for Shared Resources in Mixed-Criticality Multicore Systems. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014 mehr… BibTeX
  • Preethi Parayil Mana Damodaran, Stefan Wallentowitz, Andreas Herkersdorf: Distributed Cooperative Shared Last-Level Caching in Tiled Multiprocessor System on Chip. DATE, 2014 mehr… BibTeX
  • Stefan Wallentowitz, Michael Tempelmeier, Thomas Wild, Andreas Herkersdorf: Network-on-Chip Protection Switching Techniques for Dependable Task Migration on an Open Source MPSoC Platform. edaWorkshop, 2014 mehr… BibTeX
  • Stefan Wallentowitz, Volker Wenzel, Stefan Rösch, Thomas Wild, Andreas Herkersdorf, Jörg Henkel: Dependable Task and Communication Migration in Tiled Manycore System-on-Chip. Forum on Specification & Design Languages (FDL), 2014 mehr… BibTeX
  • Stephanie Friederich, Jan Heisswolf, David May, Jürgen Becker: Hardware prototyping and software debugging of multi-core architectures. Synopsys User Group Meeting (SNUG), 2014 mehr… BibTeX
  • Tamara Seybold, Bernd Klässner, Walter Stechele: Denoising Camera Data: Shape-adaptive Noise Reduction for Color Filter Array Image Data. International Conference on Image Processing, Computer Vision, and Pattern Recognition IPCV), 2014 mehr… BibTeX
  • Tamara Seybold, F. Kuhn, J. Habigt, M. Hartenstein, Walter Stechele: Automatic denoising parameter estimation using gradient histograms. Visual Com- munications and Image Processing Conference, IEEE, 2014, pages 358-361 mehr… BibTeX
  • Tamara Seybold, Marion Knopp, Christian Keimel, Walter Stechele: Beyond Standard Noise Models: Evaluating Denoising Algorithms with Respect to Realistic Camera Noise. International Journal of Semantic Computing Volume 08 (Number 02), 2014 mehr… BibTeX
  • Tamara Seybold, Ö. Cakmak, C. Keimel, Walter Stechele: Noise characteristics of a single sensor camera in digital color image processing. Color and Imaging Conference, 2014, 53-58 mehr… BibTeX

2013

  • Andreas Herkersdorf, Johny Paul, Ravi Kumar Pujari, Walter Stechele, Stefan Wallentowitz, Thomas Wild, Aurang Zaib: Potentials and Challenges for Multi-Core Processors in Robotic Applications. Workshop "Roboterkontrollarchitekturen" auf der Informatik 2013, 43. Jahrestagung der Gesellschaft für Informatik, GI-Edition "Lecture Notes in Informatics" (LNI), 2013 mehr… BibTeX
  • Andreas Herkersdorf, Michael Engel, Michael Glaß, Jörg Henkel, Veit Kleeberger, Michael Kochte, Johannes Maximilian Kühn, Sani Nassif, Holm Rauchfuss, Wolfgang Rosenstiel, Ulf Schlichtmann, Muhammad Shafique, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Christian Weis, Hans-Joachim Wunderlich: Cross- Layer Dependability Modeling and Abstraction in Systems on Chip. Workshop on Silicon Errors in Logic – System Effects (SELSE), 2013 mehr… BibTeX
  • Andreas Herkersdorf, Michael Paulitsch: Multicore Enablement for Embedded and Cyber Physical Systems. Report from Dagstuhl Seminar 13052, Dagstuhl Reports, 2013 mehr… BibTeX
  • Aurang Zaib, Jan Heisswolf, Andreas Weichslgartner, Thomas Wild, Jürgen Teich, Jürgen Becker, Andreas Herkersdorf: AUTO-GS: Self-optimization of NoC Traffic Through Hardware Managed Virtual Connections. 16th EUROMICRO Digital System Design (DSD) Conference, 2013 mehr… BibTeX
  • Christian Herber, Andre Richter, Holm Rauchfuss, Andreas Herkersdorf: Spatial and Temporal Isolation of Virtual CAN Controllers. Workshop on Virtualization for Real-Time Embedded Systems (VtRES), 2013 mehr… BibTeX
  • Christian Herber, Andre Richter, Holm Rauchfuss, Andreas Herkersdorf: Self-Virtualized CAN Controller for Multi-Core Processors in Real-Time Applications. International Conference on Architecture of Computing Systems (ARCS), Springer, 2013 mehr… BibTeX
  • Daniel Münch, Ole Isfort, Kevin Müller, Michael Paulitsch, Andreas Herkersdorf: Hardware-Based I/O Virtualization for Mixed Criticality Real-Time Systems Using PCIe SR-IOV. The 10th IEEE International Conference on Embedded Software and Systems (ICESS), 2013 mehr… BibTeX
  • David May, Walter Stechele: A Resource-efficient Probabilistic Fault Simulator. 23rd International Conference on Field Programmable Logic and Applications (FPL), 2013 mehr… BibTeX
  • Ericles Rodrigues Sousa, Alexandru Tanase, Vahid Lari, Frank Hannig, Jürgen Teich, Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour: Acceleration of Optical Flow Computations on Tightly-Coupled Processor Arrays. PARS, 2013 mehr… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: Virtualized and Fault-Tolerant Inter-Layer-Links for 3D-ICs. Microprocessors and Microsystems Volume 37 (Issue 8), 2013, pp 823-835 mehr… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: Networks-On-Chips für 3D-ICs. 7. ITG/GI/GMM-Fachtagung, 2013 mehr… BibTeX
  • Florian Aschauer, Walter Stechele, Johannes Treis: Dynamic Noise Estimation Approach for X-ray Detectors on FPGAs. 16th EUROMICRO Digital System Design (DSD) Conference, 2013 mehr… BibTeX
  • Florian Aschauer, Walter Stechele, Johannes Treis: FPGA based real-time data processing DAQ system for the Mercury Imaging X-ray Spectrometer. 16th EUROMICRO Digital System Design (DSD) Conference, 2013 mehr… BibTeX
  • Florian Ruf, Andreas Barthels, Gregor Walla, Michael Winter, Tom Kohler, Hans-Ulrich Michel, Joachim Froeschl, Hans-Georg Herzog: Prototypical Platform and Test Bench for Investigating Automotive Energy and Power Management Paradigms. 2nd Conference on Electric & Electronic Systems in Hybrid and Electric Vehicles and Electrical Energy Management (EEHE), 2013 mehr… BibTeX
  • Gregor Walla, Zaur Molotnikov, Andreas Barthels, Hans-Ulrich Michel, Walter Stechele, Andreas Herkersdorf: A Design Space Exploration Framework for Automotive Embedded Systems and their Power Management. 27th European Conference on Modelling and Simulation (ECMS), 2013 mehr… BibTeX
  • J. Heisswolf, A. Zaib, A. Weichslgartner, R. König, T. Wild, A. Herkersdorf, J. Teich and J. Becker: Virtual Networks - Distributed Communication Resource Management. In: Transactions on Reconfigurable Technology and Systems (TRETS). ACM, 2013 mehr… BibTeX
  • Jan Hartmann, Walter Stechele, Erik Maehle: Selbst-Rekonfigurierbare Kontrollarchitekturen für komplexe Robotersysteme. Workshop "Roboterkontrollarchitekturen", 43. Jahrestagung der Gesellschaft für Informatik, GI-Edition "Lecture Notes in Informatics" (LNI), 2013 mehr… BibTeX
  • Jan Hartmann, Walter Stechele, Erik Maehle: Self-Adaptation for Mobile Robot Algorithms Using Organic Computing Principles. International Conference on Architecture of Computing Systems (ARCS), Springer, 2013, 232-243 mehr… BibTeX
  • Jan Heisswolf, Andreas Weichslgartner, Aurang Zaib, Ralf König, Thomas Wild, Andreas Herkersdorf, Jürgen Teich, Jürgen Becker: Hardware Supported Adaptive Data Collection for Networks on Chip. IPDPS PhD Forum - 27th IEEE International Symposium on Parallel & Distributed Processing, 2013 mehr… BibTeX
  • Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour, Benjamin Oechslein, Christoph Erhardt, Jens Schedel, Daniel Lohmann, Wolfgang Schröder-Preikschat: A Resource-Aware Nearest Neighbor Search Algorithm for K-Dimensional Trees. Conference on Design and Architectures for Signal and Image Processing (DASIP), 2013 mehr… BibTeX
  • Lingkan Gong, Oliver Diessel, Johny Paul, Walter Stechele: RTL Simulation of High Performance Dynamic Reconfiguration: A Video Processing Case Study. Reconfigurable Architectures Workshop (RAW), 2013 mehr… BibTeX
  • Matthias Kuhnert, Andreas Rohatschek, Walter Stechele: Fast Parameter-based Checkpoint, Rollback and Synchronization Prediction for FPGA Designs. 25. GI/GMM/ITG-Workshop: Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 2013 mehr… BibTeX
  • Michael Feilen, Andreas Iliopoulos, Michael Vonbun, Walter Stechele: Weighted Partitioning of Sequential Processing Chains for Dynamically Reconfigurable FPGAs. 23rd International Conference on Field Programmable Logic and Applications (FPL), 2013 mehr… BibTeX
  • Michael Vonbun, Stefan Wallentowitz, Michael Feilen, Walter Stechele, Andreas Herkersdorf: Evaluation of Hop Count Advantages of Network-Coded 2D-Mesh NoCs. Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2013 mehr… BibTeX
  • S. Andriani, H. Brendel, Tamara Seybold, J. Goldstone: Beyond the kodak image set: A new reference set of color image sequences. ICIP, 2013, 2289{2293 mehr… BibTeX
  • Stefan Wallentowitz, Philipp Wagner, Michael Tempelmeier, Thomas Wild, Andreas Herkersdorf: Open Tiled Manycore System-on-Chip. Lehrstuhl für Integrierte Systeme, 2013, mehr… BibTeX
  • Stefan Wallentowitz, Thomas Wild, Andreas Herkersdorf: HW-OSQM: Reducing the Impact of Event Signaling by Hardware-based Operating System Queue Manipulation. International Conference on Architecture of Computing Systems (ARCS), Springer, 2013, 280-291 mehr… BibTeX
  • Tamara Seybold, Christian Keimel, Marion Knopp, Walter Stechele: Towards an Evaluation of Denoising Algorithms with respect to Realistic Camera Noise. IEEE International Symposium on Multimedia (ISM), 2013 mehr… BibTeX
  • Veit Kleeberger, Christina Gimmler-Dumont, Christian Weis, Andreas Herkersdorf, Daniel Mueller- Gritschneder, Sani Nassif, Ulf Schlichtmann, Norbert Wehn: A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience. IEEE Micro Volume 33 (Number 4), 2013 mehr… BibTeX

2012

  • Abdelmajid Bouajila, Abdallah Lakhtel, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf: A low-overhead Monitoring Ring Interconnect for MPSoC Parameter Optimization. 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), IEEE, 2012, 46-49 mehr… BibTeX
  • Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel, Andreas Herkersdorf: Autonomic System on Chip Platform. In: Autonomic Systems, 1, Volume 1, Organic Computing - A Paradigm Shift for Complex Systems, Part 4. Springer Verlag, 2012, Pages 413-425 mehr… BibTeX
  • Andreas Barthels, Florian Ruf, Alexander Schlenk, Gregor Walla, Hans-Ulrich Michel, Uwe Baumgarten: PREcup-1: An Embedded System Platform for Prototyping ECU Power Management. Vehicle Power and Propulsion Conference (VPPC), 2012 IEEE, 2012 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Andreas Bernauer, Johannes Zeppenfeld, Oliver Bringmann, Andreas Herkersdorf, Wolfgang Rosenstiel: Combining Software and Hardware LCS for Lightweight On-chip Learning. In: Autonomic Systems, 1, Volume 1, Organic Computing - A Paradigm Shift for Complex Systems, Part 3. Springer Verlag, 2012, Pages 253-265 mehr… BibTeX
  • Andreas Herkersdorf, Hans-Ulrich Michel, Holm Rauchfuss, Thomas Wild: Multicore Enablement for Automotive Cyber Physical Systems. Special issue of journal "it - Information Technology", 2012 mehr… BibTeX
  • Andreas Lankes, Thomas Wild, Stefan Wallentowitz, Andreas Herkersdorf: Benefits of Selective Packet Discard in Networks-on-Chip. Architecture and Code Optimization (TACO) Volume 9 (Issue 2), 2012, p. 1-21 mehr… BibTeX
  • Carina Schmidt-Knorreck, Matthias Ihmig, Raymond Knopp, Andreas Herkersdorf: Multi-Standard Processing using DAB and 802.11p on Software Defined Radio Platforms. 7th Karlsruhe Workshop on Software Radios (WSR), 2012 mehr… BibTeX
  • David May, Walter Stechele: An FPGA-based Probability-aware Fault Simulator. SAMOS XII, International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, 2012, 302-309 mehr… BibTeX
  • Dirk Koch, Jim Torresen, Christian Beckhoff, Daniel Ziener, Christopher Dennl, Volker Breuer, Jürgen Teich, Sascha Mühlbach, Andreas Koch, Michael Feilen, Walter Stechele: Partial Reconfiguration on FPGAs in Practice - Tools and Applications. Architecture of Computing Systems (ARCS), 2012 mehr… BibTeX
  • Erol Koser, Nasim Pour Aryan, Martin Wirnshofer, Georg Georgakos, Doris Schmitt-Landsiedel, Walter Stechele: RELY - Reliability of SoCs for Safety Critical Applications. edaWorkshop 12, 2012 mehr… BibTeX
  • Felix Miller, Thomas Wild, Andreas Herkersdorf: TSV-Virtualization for Multi-Protocol-Interconnect in 3D-ICs. 15th EUROMICRO Conference on Digital System Design (DSD), 2012 mehr… BibTeX
  • Florian Aschauer, Walter Stechele: Novel Noise Estimation Approach for X-Ray Detectors on FPGAs. Nuclear Science Symposium, 2012 mehr… BibTeX
  • Florian Ruf, Andreas Barthels, Gregor Walla, Michael Winter, Tom Kohler, Hans-Ulrich Michel, Joachim Froeschl, Hans-Georg Herzog: Autonomous Load Shutdown Mechanism as a Voltage Stabilization Method in Automotive Power Nets. The 8th IEEE Vehicle Power and Propulsion Conference, IEEE VPPC, 2012 mehr… BibTeX Volltext (mediaTUM)
  • Gregor Walla, Andreas Barthels, Florian Ruf, Robert Dörfel, Hans-Ulrich Michel, Joachim Fröschl, Ottmar Sirch, Uwe Baumgarten, Hans-Georg Herzog, Andreas Herkersdorf: Framework and Model for the Evaluation of Energy Efficiency of Partitioning Alternatives, Elektrik/Elektronik in Hybrid- und Elektrofahrzeugen und elektrisches Energiemanagement. Haus der Technik, 2012, pp. 151-158 mehr… BibTeX
  • Gregor Walla, Andreas Barthels, Florian Ruf, Robert Dörfel, Hans-Ulrich Michel, Joachim Fröschl, Ottmar Sirch, Uwe Baumgarten, Hans-Georg Herzog, Walter Stechele, Andreas Herkersdorf: Aspects of Function Partitioning in Respect to Power Management. 2nd International Energy Efficient Vehicles Conference (EEVC), 2012, pp. 25-35 mehr… BibTeX
  • Gregor Walla, Dirk Gabriel, Andreas Barthels, Florian Ruf, Hans-Ulrich Michel, Andreas Herkersdorf: ITE-Sim: A Simulator and Power Evaluation Framework for Electric/Electronic Architectures. The 8th IEEE Vehicle Power and Propulsion Conference, IEEE VPPC, 2012 mehr… BibTeX Volltext (mediaTUM)
  • Hans-Ulrich Michel, Andreas Barthels, Gregor Walla: Jedes Watt zählt - Intelligentes Energie- und Leistungs-Management für die Autos von morgen. Elektronik Automotive, 2012, pp. 24-28 mehr… BibTeX
  • Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Enhanced Reliability in Tiled Manycore Architectures through Transparent Task Relocation. 7th Workshop Dependability and Fault Tolerance (VERFE), presented at ARCS, 2012 mehr… BibTeX
  • Jan Heisswolf, Aurang Zaib, Andreas Weichslgartner, Ralf Koenig, Thomas Wild, Jürgen Teich, Andreas Herkersdorf, Jürgen Becker: Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS. IEEE International Symposium on Parallel and Distributed Processing Workshops and PhD Forum (IPDPSW), 2012 mehr… BibTeX
  • Johannes Zeppenfeld, Abdelmajid Bouajila, Walter Stechele, Andreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel, Andreas Herkersdorf: Applying ASoC to Multi-core Applications for Workload Management. In: Autonomic Systems, 1, Volume 1, Organic Computing - A Paradigm Shift for Complex Systems, Part 5. Springer Verlag, 2012, Pages 461-472 mehr… BibTeX
  • Johny Paul, Andreas Laika, Christopher Claus, Walter Stechele, Adam El Sayed Auf, Erik Maehle: Real-Time Motion Detection Based On SW/HW- Codesign for Walking Rescue Robots. In: Real Time Image Processing. Springer Journal of Real Time Image Processing, 2012 mehr… BibTeX
  • Johny Paul, Walter Stechele, Manfred Kröhnert, Tamim Asfour, Rüdiger Dillmann: Invasive Computing for Robotic Vision. Asia South Pacific Design Automation Conference (ASP-DAC), 2012 mehr… BibTeX
  • Jörg Henkel, Andreas Herkersdorf, Lars Bauer, Thomas Wild, Michael Hübner, Ravi Kumar Pujari, Artjom Grudnitsky, Jan Heisswolf, Aurang Zaib, Benjamin Vogel, Vahid Lari, Sebastian Kobbe: Invasive Manycore Architectures. Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), 2012 mehr… BibTeX
  • Jürgen Becker, Stephanie Friederich, Jan Heisswolf, Ralf Koenig, David May: Hardware Prototyping of Novel Invasive Multicore Architectures. Proceedings of the 17th Asia and South Pacific Design Automation Conference (ASP-DAC), 2012 mehr… BibTeX
  • Kai Hylla, Armin Grünewald, Kai Hahn, Andy Heinig, Uwe Knöchel, S. Wolf, Felix Miller, Thomas Wild, Artur Quiring, Markus Olbrich, Sebastian Sattler, Dieter Treytnar: NEEDS - Nanoelektronik-Entwurf für 3D-Systeme. Zuverlässigkeit und Entwurf, 6. GMM/GI/ITG-Fachtagung (ZuE) , 2012 mehr… BibTeX
  • Lothar Stolz, Kay Weckemann, Hyung-Taek Lim, Walter Stechele: A Prototypical In-Car Entertainment Setup Using Software Defined Radio and Ethernet/IP-based In-Vehicle Communication. The First International Conference on Advances in Vehicular Systems, Technologies and Applications (VEHICULAR), 2012 mehr… BibTeX
  • Lothar Stolz, Matthias Ihmig, Walter Stechele: An Evaluation on Using GPU Coprocessing for Software Radios on a Low-cost Platform. Conference on Design & Architectures for Signal & Image Processing (DASIP), 2012 mehr… BibTeX
  • Lothar Stolz, Michael Feilen, Walter Stechele: An Optimized Software-defined Digital Audio Broadcasting (DAB) Receiver for x86 Platforms. 7th Karlsruhe Workshop on Software Radios (WSR), IEEE, 2012, 1-8 mehr… BibTeX
  • Matthias Ihmig, Michael Feilen, Andreas Herkersdorf: On the Accuracy of sum-based Logic and Power Estimates in hardware-accelerated SDR systems. 7th Karlsruhe Workshop on Software Radios (WSR), 2012 mehr… BibTeX
  • Matthias Ihmig, Michael Feilen, Andreas Herkersdorf: Analytical Design Space Exploration based on statistically Refined Runtime and Logic Estimation for Software Defined Radios. Euromicro Conferences SEAA & DSD, 2012 mehr… BibTeX
  • Michael Feilen, Andreas Iliopoulos, Matthias Ihmig, Walter Stechele: Partitioning and Context Switching for a Reconfigurable FPGA-based DAB Receiver. Conference on Design & Architectures for Signal & Image Processing (DASIP), 2012 mehr… BibTeX
  • Michael Feilen, Andreas Illiopoulos, Matthias Ihmig: Partitioning and Context Switching for a Reconfigurable FPGA-based DAB Receiver. DASIP, 2012, 1-8 mehr… BibTeX
  • Michael Feilen, Matthias Ihmig, Christian Schwarzbauer, Walter Stechele: An Efficient DVB-T2 Decoding Accelerator by Time-Multiplexing FPGA Resources. 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012, 75-82 mehr… BibTeX
  • Michael Gerndt, Frank Hannig, Andreas Herkersdorf, Andreas Hollmann, Marcel Meyer, Sascha Roloff, Josef Weidendorfer, Thomas Wild, Aurang Zaib: An Integrated Simulation Framework for Invasive Computing. Forum on specification and Design Languages (FDL), 2012 mehr… BibTeX
  • Rainer Leupers, Frank Schirrmeister, Grant Martin, Tim Kogel, Roman Plyaskin, Andreas Herkersdorf, Martin Vaupel: Virtual Platforms: Breaking New Grounds. Design, Automation and Test in Europe Conference (DATE), 2012 mehr… BibTeX
  • Roman Plyaskin, Thomas Wild, Andreas Herkersdorf: System-level Software Performance Simulation Considering Out-of-order Processor Execution. International Symposium on System-on-Chip, 2012 mehr… BibTeX
  • Sebastian Drössler, Michael Eichhorn, Stefan Holzknecht, Bernd Müller-Rathgeber, Holm Rauchfuss, Michael Zwick, Erwin Biebl, Klaus Diepold, Jörg Eberspächer, Andreas Herkersdorf, Walter Stechele, Eckehard Steinbach, Raymond Freymann, Karl-Ernst Steinberg, Hans-Ulrich Michel: A Real-Time Capable Virtualized Information and Communication Technology Infrastructure for Automotive Systems. In: Advances in Real-Time Systems. Springer , 2012, pp 275-306 mehr… BibTeX
  • Stefan Wallentowitz, Andreas Lankes, Aurang Zaib, Thomas Wild, Andreas Herkersdorf: A Framework for Open Tiled Manycore System-on-Chip. 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012 mehr… BibTeX

2011

  • Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf: An architecture and an FPGA prototype of a Reliable Processor Pipeline towards multiple soft- and timing errors. 14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2011 mehr… BibTeX
  • Andreas Barthels, Florian Ruf, Gregor Walla, Joachim Fröschl, Hans-Ulrich Michel, Uwe Baumgarten: A Model for Sequence Based Power Management in Cyber Physical Systems. 1st International Conference on ICT as Key Technology for the Fight against Global Warming, ICT-GLOW, 2011, 87-101 mehr… BibTeX
  • Holger Endt, Lothar Stolz, Martin Wechs, Walter Stechele: A modelbased software generation approach qualified for heterogeneous GPGPU-enabled platforms. International Conference on Parallel Computing (ParCo), 2011 mehr… BibTeX
  • Johannes Zeppenfeld, Andreas Herkersdorf: Applying Autonomic Principles for Workload Management in Multi-Core Systems on Chip. International Conference on Autonomic Computing (ICAC), 2011 mehr… BibTeX
  • Juan Gómez-Luna, Holger Endt, Walter Stechele, José María González-Linares, José Ignacio Benavides, Nicolás Guil: Egomotion compensation and moving objects detection algorithm on GPU. International Conference on Parallel Computing (ParCo), 2011 mehr… BibTeX
  • Jörg Henkel, Lars Bauer, Jürgen Becker, Oliver Bringmann, Uwe Brinkschulte, Samarjit Chakraborty, Michael Engel, Rolf Ernst, Hermann Härtig, Lars Hedrich, Andreas Herkersdorf, Rüdiger Kapitza, Daniel Lohmann, Peter Marwedel, Marco Platzner, Wolfgang Rosenstiel, Ulf Schlichtmann, Olaf Spinczyk, Mehdi Baradaran Tahoori, Jürgen Teich, Norbert Wehn, Hans-Joachim Wunderlich: Design and Architectures for Dependable Embedded Systems. CODES + ISSS, 2011 mehr… BibTeX
  • Michael Feilen, Lothar Stolz, Christoph Hausl, Walter Stechele: Improving the Performance of Digital Radio Mondiale Plus (DRM+) by LDPC Channel Coding. IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, 2011 mehr… BibTeX
  • Michael Feilen, Matthias Ihmig, Anton Zahlheimer, Walter Stechele: Real-Time Signal Processing on Low-Cost-FPGAs using Dynamic Partial Reconfiguration. International Symposium on Integrated Circuits, 2011 mehr… BibTeX
  • Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf, Benjamin Vogel, Jörg Henkel: Hardware Assisted Thread Assignment for RISC based MPSoCs in Invasive Computing. International Symposium on Integrated Circuits (ISIC), 2011 mehr… BibTeX
  • Robert Hartl, Andreas Rohatschek, Walter Stechele, Andreas Herkersdorf: Improved Backwards Analysis for Architectural Vulnerability Factor Estimation. Semiconductor Conference Dresden (SCD), 2011 mehr… BibTeX
  • Roman Plyaskin, Andreas Herkersdorf: Context-aware compiled simulation of out-of-order processor behavior based on atomic traces. 19th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2011 mehr… BibTeX
  • Seunghan Han, Andreas Hutter, Walter Stechele: A Reasoning Approach to Enable Abductive Semantic Explanation upon Collected Observations for Forensic Visual Surveillance. IEEE International Conference on Multimedia and Expo (ICME), 2011 mehr… BibTeX
  • Seunghan Han, Walter Stechele: Default reasoning for forensic visual surveillance based on subjective logic and its comparison with l-fuzzy set based approaches. TUM, 2011, mehr… BibTeX
  • Stefan Wallentowitz, Marcel Meyer, Thomas Wild, Andreas Herkersdorf: Accelerating Collective Communication in Message Passing on Manycore System-on-Chip. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XI), 2011 mehr… BibTeX
  • Thomas Ebi, Holm Rauchfuss, Jörg Henkel, Andreas Herkersdorf: Agent-based Thermal Management using Real-Time I/O Communication Relocation for 3D Many-Cores. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2011 mehr… BibTeX
  • Walter Stechele, Jan Hartmann, Erik Maehle: An Approach to Self- Learning Multicore Reconfiguration Management Applied on Robotic Vision. Conference on Design and Architecture for Signal and Image Processing (DASIP), 2011 mehr… BibTeX
  • Zhonglei Wang, Kun Lu, Andreas Herkersdorf: An Approach to Improve Accuracy of Source-Level TLMs of Embedded Software. Design, Automation & Test in Europe (DATE), 2011 mehr… BibTeX

2010

  • Andreas Bernauer, Johannes Zeppenfeld, Oliver Bringmann, Andreas Herkersdorf, Wolfgang Rosenstiel: Combining software and hardware LCS for lightweight on-chip learning. 3rd IFIP Conference on Biologically-Inspired Collaborative Computing, 2010 mehr… BibTeX
  • Andreas Herkersdorf, Andreas Lankes, Michael Meitinger, Rainer Ohlendorf, Stefan Wallentowitz, Thomas Wild, Johannes Zeppenfeld: Hardware Support to Exploit Parallelism in Homogeneous and Heterogeneous Multi-Core Systems on Chip. Springer Verlag, 2010 mehr… BibTeX
  • Andreas Laika, Johny Paul, Christopher Claus, Walter Stechele, Adam El Sayed Auf, Erik Maehle: FPGA-based Real-time Moving Object Detection for Walking Robots. 8th IEEE International Workshop on Safety, Security and Rescue Robotics (SSRR), 2010 mehr… BibTeX
  • Andreas Lankes, Thomas Wild, Sören Sonntag, Helmut Reinig, Andreas Herkersdorf: Comparison of Deadlock Recovery and Avoidance Mechanisms to approach Message dependent Deadlocks in on-chip Networks. The 4th ACM/IEEE International Symposium on Networks-on-Chip, 2010 mehr… BibTeX
  • Benjamin Kormann, Antje Neve, Gudrun Klinker, Walter Stechele: Stereo Vision Based Vehicle Detection. VISIGRAPH 2010: International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, 2010 mehr… BibTeX
  • Christian Köhler, Albrecht Mayer, Andreas Herkersdorf: Chip Hardware-in-the-Loop Simulation Framework. Simulation und Test für Automobilelektronik III - Vom Konzept bis zur Serie, Expert Verlag, 2010, 439-448 mehr… BibTeX
  • Christopher Claus: AutoVision – a reconfigurable system on chip for future situation adaptive video based driver assistance systems. EDAA/ACM PhD forum at the DATE Conference, 2010 mehr… BibTeX
  • Christopher Claus: AutoVision – a reconfigurable system on chip for future situation adaptive video based driver assistance systems. SIGDA/EDAA PhD forum (DATE), 2010 mehr… BibTeX
  • Christopher Claus, Florian Altenried, Walter Stechele: Dynamic Partial Reconfiguration of Xilinx FPGAs Lets Systems Adapt on the Fly. Xcell Journal, 2010 mehr… BibTeX
  • Christopher Claus, Rehan Ahmed, Florian Altenried, Walter Stechele: Towards rapid dynamic partial reconfiguration in video-based driver assistance systems. 6th International Symposium on Applied Reconfigurable Computing (ARC), 2010 mehr… BibTeX
  • Christopher Claus, Walter Stechele: AutoVision - Reconfigurable Hardware Acceleration for Video-Based Driver Assistance. In: Platzner, Teich, Wehn (Editors): Dynamically Reconfigurable Systems. Springer Verlag, 2010 mehr… BibTeX
  • Colin Estermann, Walter Stechele, Andreas Hutter: Iterative Patch Based Estimation of Perspective Transforms. Signal Processing, Pattern Recognition and Applications (SPPRA), 2010 mehr… BibTeX
  • Florian Aschauer, Christopher Claus, Walter Stechele: In-flight verification of CCSDS based on-board real-time video compression. 61. International Astronautical Congress (IAC), 2010 mehr… BibTeX
  • Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: A Network Interface Card Architecture for I/O Virtualization in Embedded Systems. Second Workshop on I/O Virtualization (WIOV), 2010 mehr… BibTeX
  • Johannes Zeppenfeld, Abdelmajid Bouajila, Andreas Herkersdorf, Walter Stechele: Towards Scalability and Reliability of Autonomic Systems on Chip. Workshop on Self-Organizing Real-Time Systems, 2010 mehr… BibTeX
  • Johannes Zeppenfeld, Andreas Herkersdorf: Autonomic Workload Management for Multi-Core Processor Systems. International Conference on Architecture of Computing Systems (ARCS), 2010 mehr… BibTeX
  • Kimon Karras, Thomas Wild, Andreas Herkersdorf: A Folded Pipeline Network Processor Architecture for 100 Gbit/s Networks. ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS), 2010 mehr… BibTeX
  • Lothar Stolz, Holger Endt, Mikael Vaaraniemi, Daniel Zehe, Walter Stechele: Energy consumption of Graphic Processing Units with respect to automotive use-cases. International Conference on Energy Aware Computing (ICEAC), 2010 mehr… BibTeX
  • Matthias Ihmig, Nicolaus Alt, Andreas Herkersdorf: Implementation and Fine-grain partitioning of a DAB SDR receiver on an FPGA-DSP platform. 6th Karlsruhe Workshop on Software Radios (WSR), 2010 mehr… BibTeX
  • Matthias May, Norbert Wehn, Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf, Daniel Ziener, Jürgen Teich: A Rapid Prototyping System for Error-Resilient Multi-Processor Systems-on-Chip. DATE Conference, 2010 mehr… BibTeX
  • Michael Feilen, Matthias Ihmig, Walter Stechele: Concept and Design of an SNR-adaptive DRM+/FM Receiver using Dynamic Partial Reconfiguration (DPR) of FPGAs. 11th Workshop Digital Broadcasting, 2010 mehr… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: An Application-aware Load Balancing Strategy for Network Processors. International Conference on High-Performance Embedded Architectures and Compilers (HiPEAC), 2010 mehr… BibTeX
  • Robert Hartl, Andreas Rohatschek, Walter Stechele, Andreas Herkersdorf: Architectural Vulnerability Factor Estimation with Backwards Analysis. 13th Euromicro Conference on Digital System Design (DSD), 2010 mehr… BibTeX
  • Roman Plyaskin, Alejandro Masrur, Martin Geier, Samarjit Chakraborty, Andreas Herkersdorf: High-level timing analysis of concurrent applications on MPSoC platforms using memory-aware trace-driven simulations. 18th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC), 2010 mehr… BibTeX
  • Roman Plyaskin, Andreas Herkersdorf: A Method for Accurate High-Level Performance Evaluation of MPSoC Architectures using Fine-Grained Generated Traces. International Conference on Architecture of Computing Systems (ARCS), 2010 mehr… BibTeX
  • Seunghan Han, Bonjung Koo, Andreas Hutter, Vinay Shet, Walter Stechele: Subjective Logic Based Hybrid Approach to Conditional Evidence Fusion for Forensic Visual Surveillance. 7th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS ), 2010 mehr… BibTeX
  • Seunghan Han, Bonjung Koo, Andreas Hutter, Walter Stechele: Forensic Reasoning Upon Pre-Obtained Surveillance Metadata Using Uncertain Spatio-Temporal Rules And Subjective Logic. 11th International Workshop on Image Analysis for Multimedia Interactive Services (WIAMIS), 2010 mehr… BibTeX
  • Seunghan Han, Bonjung Koo, Walter Stechele: Subjective Logic Based Approach to Modeling Default Reasoning for Visual Surveillance. International Conference on Semantic Computing (ICSC), 2010 mehr… BibTeX
  • Torsten Kempf, Stefan Wallentowitz, Gerd Ascheid, Rainer Leupers, Heinrich Meyr: Analytical and Simulation-based Design Space Exploration of Software Defined Radios. International Journal of Parallel Programming, 2010 mehr… BibTeX
  • Walter Stechele, Christopher Claus, Andreas Laika: Lessons Learned from last 4 Years of Reconfigurable Computing. Dagstuhl Seminar Proceedings 10281 on Dynamically Reconfigurable Architectures, 2010 mehr… BibTeX
  • Zhonglei Wang, Andreas Herkersdorf: Software performance simulation strategies for high-level embedded system design. International Journal of Performance Evaluation, 2010 mehr… BibTeX

2009

  • Abdelmajid Bouajila, Johannes Zeppenfeld, Andreas Herkersdorf, Walter Stechele: Multi-Bit Error Protection for Self-Correcting CPU Pipelines. eda Workshop, 2009 mehr… BibTeX
  • Abelmajid Bouajila, Thomas Sommer, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf: A Fault-Tolerant Processor Architecture. Workshop „Dependability and Fault-Tolerance“ (ARCS), 2009 mehr… BibTeX
  • Andreas Laika, Adrian Taruttis, Walter Stechele: Segmentation through Edge-Linking - Segmentation for Video-based Driver Assistance Systems. International Conference on Imaging Theory and Applications (IMAGAPP), 2009 mehr… BibTeX
  • Andreas Lankes, Andreas Herkersdorf, Sören Sonntag, Helmut Reinig: NoC Topology Exploration for Mobile Multimedia Applications. The 16th IEEE International Conference on Electronics, Circuits and Systems, 2009 mehr… BibTeX
  • Andreas Lankes, Thomas Wild, Andreas Herkersdorf: Hierarchical NoCs for Optimized Access to Shared Memory and IO Resources. Euromicro Conference on Digital System Design (DSD), 2009 mehr… BibTeX
  • Christian Köhler, Albrecht Mayer, Andreas Herkersdorf: Chip Hardware-in-the-Loop Simulation (CHILS) Coupling Optimization through new Algorithm Analysis Technique. Proceedings 16th International Conference Mixed Design of Integrated Circuits and Systems, 2009 mehr… BibTeX
  • Christopher Claus, Andreas Laika, Li Jia, Walter Stechele: High performance FPGA based optical flow calculation using the census transformation. The Intelligent Vehicles Symposium (IV), 2009 mehr… BibTeX
  • Christopher Claus, Robert Huitl, Joachim Rausch, Walter Stechele: Optimizing the SUSAN corner detection algorithm for a high speed FPGA implementation. 19th International Conference on Field Programmable Logic and Applications (FPL), 2009 mehr… BibTeX
  • Daniel Llorente, Kimon Karras, Thomas Wild, Andreas Herkersdorf: Advanced Packet Segmentation and Buffering Algorithms in Network Processors. 4th International Conference on High Performance and Embedded Architectures and Compilers, 2009 mehr… BibTeX
  • Matthias Ihmig, Andreas Herkersdorf: Flexible multi-standard multi-channel system architecture for Software Defined Radio receiver. Intelligent Transport Systems Telecommunications (ITST), The 9th International Conference on ITS Telecommunications, 2009 mehr… BibTeX
  • Mehrnoush Rahmani, Eckart Steinbach, Wolfgang Hintermaier, Andreas Laika, Holger Endt: IP-based Driver Assistance Camera Systems - Architecture and Network Design. IEEE International Conference on Networking, Sensing and Control, 2009 mehr… BibTeX
  • Paul Zuber, Othman Bahlous, Thomas Ilnseher, Michael Ritter, Walter Stechele: Wire Topology Optimization for Low Power CMOS. Very Large Scale Integration (VLSI) Systems, IEEE Transactions, 2009, 1-11 mehr… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: FlexPath NP- Flexible, Dynamically Reconfigurable Processing Paths in Network Processors. In: Dynamically Reconfigurable Systems, Architectures, Design, Methods and Applications. Springer, 2009 mehr… BibTeX
  • Seunghan Han, Andreas Hutter, Walter Stechele: Toward Contextual Forensic Retrieval for Visual Surveillance. 10th International Workshop on Image Analysis for Multimedia Interactive Services (WIAMIS), 2009 mehr… BibTeX
  • Shadi Traboulsi, Michael Meitinger, Rainer Ohlendorf, Andreas Herkersdorf: An Efficient Hardware Architecture for Packet Re-sequencing in Network Processor MPSoCs. 12th Euromicro Conference on Digital System Design (DSD), 2009 mehr… BibTeX
  • Simon Hauger, Thomas Wild, Arthur Mutter, Andreas Kirstädter, Kimon Karras, Rainer Ohlendorf, Frank Feller, Joachim Scharf: Packet Processing at 100Gbps and Beyond - Challenges and Perspectives. 10. ITG-Fachtagung Photonische Netze, 2009 mehr… BibTeX
  • Zhonglei Wang, Andreas Herkersdorf: Flow Analysis on Intermediate Source Code for WCET Estimation of Compiler-Optimized Programs. The 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), 2009 mehr… BibTeX
  • Zhonglei Wang, Andreas Herkersdorf: An Efficient Approach for System-Level Timing Simulation of Compiler-Optimized Embedded Software. 46th Design Automation Conference (DAC), 2009 mehr… BibTeX
  • Zhonglei Wang, Wolfgang Haberl, Martin Wechs, Andreas Herkersdorf: SysCOLA: A Framework for Co-Development of Automotive Software and System Platform. 46th Design Automation Conference (DAC), 2009 mehr… BibTeX

2008

  • Andreas Herkersdorf, Johannes Zeppenfeld, Abdelmajid Bouajila, Walter Stechele: Hardware-Supported Learning Classifier Tables in Autonomic Systems on Chip. Organic Computing - Controlled Self-organization, 2008Dagstuhl Seminar mehr… BibTeX
  • Andreas Lankes, Thomas Wild, Johannes Zeppenfeld: System Level Simulation of Autonomic SoCs with TAPES. Architecture of Computing Systems (ARCS) (Lecture Notes in Computer Science 4934), Springer, 2008, 9-22 mehr… BibTeX Volltext ( DOI )
  • Bernd Müller-Rathgeber, Holm Rauchfuss: A Cosimulation Framework for a Distributed System of Systems. IEEE 68th Vehicular Technology Conference (VTC), 2008 mehr… BibTeX
  • Christian Köhler, Albrecht Mayer, Andreas Herkersdorf: Chip Hardware In-The-Loop Simulation (CHILS), Embedding Microcontroller Hardware In Simulation. IASTED Modelling and Simulation Conference, Acta Press, 2008 mehr… BibTeX
  • Christian Köhler, Albrecht Mayer, Andreas Herkersdorf: Determining the Fidelity of Hardware-In-the-Loop Simulation Coupling Systems. Proceedings of the 2008 IEEE International Behavioral Modeling and Simulation Conference, IEEE Publisher, 2008, pp. 13-18 (Automotive) mehr… BibTeX
  • Christopher Claus, Bin Zhang, Walter Stechele, Lars Braun, Michael Hübner, Jürgen Becker: A multi-platform controller allowing for maximum dynamic partial reconfiguration throughput. International Conference on Field Programmable Logic and Applications (FPL), 2008 mehr… BibTeX
  • Christopher Claus, Walter Stechele, Matthias Kovatsch, Josef Angermeier, Jürgen Teich: A comparison of embedded reconfigurable video-processing architectures. International Conference on Field Programmable Logic and Applications (FPL), 2008 mehr… BibTeX
  • Colin Estermann, Walter Stechele, Robert Kutka, Andreas Hutter: Luminance Correction in Stereo Correspondence Based Structure from Motion. 9th International Workshop on Image Analysis for Multimedia Interactive Services, WIAMIS, IEEE Computer Society, 2008, 179-182 mehr… BibTeX
  • Daniel Llorente, Kimom Karras, Thomas Wild, Andreas Herkersdorf: Buffer Allocation for Advanced Packet Segmentation in Network Processors. Application-Specific Systems, Architectures and Processors (ASAP), IEEE Press, 2008, 221-226 mehr… BibTeX Volltext ( DOI )
  • Johannes Zeppenfeld, Abdelmajid Bouajila, Walter Stechele, Andreas Herkersdorf: Learning Classifier Tables for Autonomic Systems on Chip. Lecture Notes in Informatics, Springer, Gesellschaft für Informatik, GI Jahrestagung, 2008, 771-778 mehr… BibTeX
  • Josef Angermeier, Ulrich Batzer, Mateusz Majer, Jürgen Teich, Christopher Claus, Walter Stechele: Reconfigurable HW/SW Architecture of a Real-Time Driver Assistance System. Reconfigurable Computing: Architecture, Tools and Applications (Lecture Notes in Computer Science 4943), Springer, 20084th International Workshop, ARC, 149-159 mehr… BibTeX Volltext ( DOI )
  • Kimon Karras, Daniel Llorente, Thomas Wild, Andreas Herkersdorf: Improving Memory Subsystem Performance in Network Processors with Smart Packet Segmentation. Embedded Computer Systems: Architectures, Modeling, and Simulation, 20088th International Workshop, SAMOS mehr… BibTeX
  • Matthias Ihmig, Nicolaus Alt, Christopher Claus, Andreas Herkersdorf: Resource-efficient Sequential Architecture for FPGA-based DAB Receiver. Workshop zu Software Radio WSR, 2008 mehr… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: FlexPath NP - A Network Processor Architecture with Flexible Processing Paths. International Symposium on System-on-Chip (SoC), 2008 mehr… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Hardware Packet Resequencer Unit for Network Processors. Architecture of Computing Systems (ARCS) (Lecture Notes in Computer Science 4934), Springer, 2008, 85-97 mehr… BibTeX Volltext ( DOI )
  • Nicolaus Alt, Christopher Claus, Walter Stechele: Hardware/software architecture of an algorithm for vision-based real-time vehicle detection in dark environments. Design, Automation & Test in Europe (DATE), IEEE Press, 2008 mehr… BibTeX Volltext ( DOI )
  • Peter Gritzmann, Michael Ritter, Paul Zuber: Optimal wire ordering and spacing in low power semiconductor design. Journal on Mathematical Programming, Springer, 2008 mehr… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: A Processing Path Dispatcher in Network Processor MPSoCs. IEEE Transactions on VLSI Systems, IEEE, 2008, 1335-1345 mehr… BibTeX
  • Thilo Pionteck, Roman Koch, Carsten Albrecht, Erik Maehle, Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: SPP1148 Booth: Network Processors. Field Programmable Logic and Applications (FPL), 2008, 352-352 mehr… BibTeX
  • Zhonglei Wang, Antonio Sanchez, Andreas Herkersdorf: SciSim: A Software Performance Estimation Framework using Source Code Instrumentation. ACM International Workshop on Software and Performance (WOSP), 2008, 33-42 mehr… BibTeX Volltext ( DOI )
  • Zhonglei Wang, Antonio Sanchez, Andreas Herkersdorf, Walter Stechele: Fast and Accurate Software Performance Estimation during High-Level Embedded System Design. edaworkshop, 2008 mehr… BibTeX
  • Zhonglei Wang, Stefano Merenda, Michael Tautschnig, Andreas Herkersdorf: A Model Driven Development Approach for Implementing Reactive Systems in Hardware. International Forum on Specification and Design Languages, 2008 mehr… BibTeX
  • Zhonglei Wang, Thomas Wild, Stefan Rüping, Bernhard Lippmann: Benchmarking Domain Specific Processors: A Case Study of Evaluating A Smart Card Processor Design. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE Press, 2008, 16-21 mehr… BibTeX Volltext ( DOI )
  • Zhonglei Wang, Wolfgang Haberl, Andreas Herkersdorf, Martin Wechs: A Simulation Approach for Performance Validation during Embedded Systems Design. 3rd International Symposium on Leveraging Applications of Formal Methods, Verification and Validation (ISoLA), 2008 mehr… BibTeX
  • Zhonglei Wang, Wolfgang Haberl, Stefan Kugele, Michael Tautschnig: Automatic Generation of SystemC Models from Component-based Designs for Early Design Validation and Performance Analysis. ACM International Workshop on Software and Performance (WOSP), ACM, 2008, 139-144 mehr… BibTeX Volltext ( DOI )

2007

  • Andreas Herkersdorf, Christopher Claus, Michael Meitinger, Rainer Ohlendorf, Thomas Wild: Reconfigurable Processing Units vs. Reconfigurable Interconnects. Dagstuhl Seminar on Dynamically Reconfigurable Architectures, 2007 mehr… BibTeX
  • Andreas Herkersdorf, Walter Stechele: Exploitation of Reconfiguration in Advanced Applications Run-Time Reconfiguration for High Performance Video-based Driver Assistance and High-Speed IP Networking. DATE Tutorial on Reconfigurable Computing: Architectures, Tools and Applications, 2007 mehr… BibTeX
  • Andreas Lankes, Thomas Wild, Johannes Zeppenfeld: Power Estimation of Time Variant SoCs with TAPES. 10th EUROMICRO Conference on Digital System Design: Architectures, Methods, Tools (DSD 07), 2007 mehr… BibTeX
  • Christopher Claus, Walter Stechele, Andreas Herkersdorf: Autovision-A Run-time Reconfigurable MPSoC Architecture for future Driver Assistance Systems. it - Information Technology Journal (3), 2007 mehr… BibTeX
  • Daniel Llorente, Kimon Karras, Michael Meitinger, Holm Rauchfuss, Thomas Wild, Andreas Herkersdorf: Accelerating Packet Buffering and Administration in Network Processors. International Symposium on Integrated Circuits (ISIC), 2007 mehr… BibTeX
  • Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Programmable Stream Processing Engine for Packet Manipulation in Network Processors. IEEE Computer Society Annual Symposium on VLSI, 2007 (ISVLSI '07), 2007 mehr… BibTeX
  • Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: A Packet Classification Technique for On-Chip Processing Path Selection. Proceedings of the 5th Workshop on Application Specific Processors (WASP'07), 2007, pp 95-102 mehr… BibTeX
  • Rainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Simulated and Measured Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications. Journal of Systems Architecture Volume 53 (Issue 10), 2007, pp 703-718 mehr… BibTeX Volltext ( DOI )
  • Walter Stechele, Oliver Bringmann, Rolf Ernst, Andreas Herkersdorf, Katharina Hojenski, Peter Janacik, Franz Rammig, Jürgen Teich, Norbert Wehn, Johannes Zeppenfeld, Daniel Ziener: Concepts for Autonomic Integrated Systems. eda-Workshop, 2007 mehr… BibTeX
  • Walter Stechele, Oliver Bringmann, Rolf Ernst, Andreas Herkersdorf, Katharina Hojenski, Peter Janacik, Franz Rammig, Jürgen Teich, Norbert Wehn, Johannes Zeppenfeld, Daniel Ziener: Autonomic MPSoCs for Reliable Systems. GMM/GI/ITG Fachtagung Zuverlässigkeit und Entwurf, ZuD, 2007 mehr… BibTeX

2006

  • Abdelmajid Bouajila, Andreas Bernauer, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele: Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoC. 1st IFIP International Conference on Biologically Inspired Cooperative Computing (BICC), Springer, 2006 mehr… BibTeX
  • Abdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf, Andreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel: Organic Computing at the System on Chip Level. Proceedings of the IFIP International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC), 2006 mehr… BibTeX
  • Andreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel, Abdelmajid Bouajila, Walter Stechele, Andreas Herkersdorf: An Architecture for Runtime Evaluation of SoC Reliability. INFORMATIK 2006 - Informatik für Menschen, Bonn, Köllen Verlag, 2006 mehr… BibTeX
  • Jürgen Foag, Thomas Wild: Queuing algorithm for speculative Network Processors. International Journal of High Performance Computing and Networking Volume 4 (Issue 5/6), 2006, pp 241-247 mehr… BibTeX
  • Rainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications. Proceedings of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS 2006), 2006 mehr… BibTeX
  • Thomas Wild, Andreas Herkersdorf, Gyoo-Yeong Lee: TAPES - Trace-based architecture performance evaluation with SystemC. Design Automation for Embedded Systems Volume 10 (Numbers 2-3, Special Issue on SystemC-based System Modeling, Verification and Synthesis), 2006, pp 157-179 mehr… BibTeX
  • Thomas Wild, Andreas Herkersdorf, Rainer Ohlendorf: Performance Evaluation for System-on-Chip Architectures using Trace-based Transaction Level Simulation. Design Automation & Test in Europe (DATE), 2006 mehr… BibTeX

2005

  • David Taylor, Andreas Herkersdorf, Andreas Döring, Gero Dittmann: Robust Header Compression (ROHC) in Next-Generation Network Processors. IEEE/ACM Transactions on Networking, 2005 mehr… BibTeX
  • Gabriel Lipsa, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele: Towards a Framework and a Design Methodology for Autonomic SoC. International Conference on Autonomic Computing (ICAC), 2005 mehr… BibTeX
  • Jürgen Foag, Thomas Wild: Predictive Processing Architecture Extension for Network Processora. IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2005 mehr… BibTeX
  • Paul Zuber, Armin Windschiegl, Raoul Medina, Walter Stechele, Andreas Herkersdorf: Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization. Design, Automation & Test in Europe (DATE), 2005 mehr… BibTeX
  • Rainer Ohlendorf, Andreas Herkersdorf, Thomas Wild: FlexPath NP - A Network Processor Concept with Application-Driven Flexible Processing Paths. CODES+ISSS, 2005 mehr… BibTeX

2004

  • Andreas Herkersdorf, Wolfgang Rosenstiel: Towards a Framework and a Design Methodology for Autonomic Integrated Systems. Workshop Organic Computing, GI Jahrestagung, 2004 mehr… BibTeX
  • Carsten Albrecht, Rainer Hagenau, Erik Maehle, Andreas Döring, Andreas Herkersdorf: A Comparison of Parallel Programming Models of Network Processors. 17th International Conference on Architecture of Computing Systems (ARCS), 2004 mehr… BibTeX
  • Jürgen Foag, Thomas Wild: Queuing algorithm for Speculative Network Processors. 18th International Symposium on High Performance Computing Systems and Applications, 2004 mehr… BibTeX
  • Walter Stechele, Stephan Herrmann, Andreas Herkersdorf: Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing. 17th International Conference on Architecture of Computing Systems (ARCS), 2004 mehr… BibTeX

2003

  • Jürgen Foag, Thomas Wild: Traffic Prediction Algorithm for a Speculative Network Processor. 17th Intl. Symposium for High Performance Computing Systems and Applications HPCS, 2003 mehr… BibTeX
  • Winthir Brunnbauer, Thomas Wild, Andreas Krug: Consideration of IP-Modules during Mapping and Scheduling of Task Graphs. Austrochip, 2003 mehr… BibTeX
  • Winthir Brunnbauer, Thomas Wild, Jürgen Foag, Nuria Pazos: A Constructive Algorithm with Look-Ahead for Mapping and Scheduling of Task Graphs with Conditional Edges. EuroMicro Symposium on Digital System Design (DSD), 2003 mehr… BibTeX