Foto von Tsun-Ming Tseng

Dr.-Ing. Tsun-Ming Tseng

Technische Universität München

Lehrstuhl für Entwurfsautomatisierung (Prof. Schlichtmann)

Postadresse

Postal:
Arcisstr. 21
80333 München


Tsun-Ming Tseng leads the Emerging Technology Group in the Chair of Electronic Design Automation. The main research directions of Dr. Tseng's group include:

Group Members:

Teaching:

Selected Projects/Grants:

Open Research Topics:

Research topics are always available. Students that performed well in the courses Mixed Integer Programming and Graph Algorithms for Engineering Problems and Electronic Design Automation are especially encouraged to apply for research opportunities. Please write to  for more information.


Publications

  • Li, Mengchu; Gu, Hanchen; Zhang, Yushen; Liang, Siyuan; Gasvoda, Hudson; Altay, Rana; Araci, Ismail; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Late Breaking Results: Efficient Built-in Self-Test for Microfluidic Large-Scale Integration (mLSI). ACM/IEEE Design Automation Conference (DAC), 2024 mehr… BibTeX
  • Liang, Siyuan; Zhang, Yushen; Altay, Rana; Gasvoda, Hudson; Li, Mengchu; Araci, Ismail Emre; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: LaMUX: Optimized Logic-Gate-Enabled High-Performance Microfluidic Multiplexer Design. ACM/IEEE Design Automation Conference (DAC), 2024 mehr… BibTeX Volltext (mediaTUM)
  • Zheng, Zhidan; Cheng, Liaoyuan; Arisawa, Kanta; Li, Qingyu; Truppel, Alexandre; Yamashita, Shigeru; Tseng, Tsun-Ming; Schlichtmann, Ulf: Multi-Resonance Mesh-Based Wavelength-Routed Optical Networks-on-Chip. ACM/IEEE Design Automation Conference (DAC), 2024 mehr… BibTeX Volltext (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: LightR: A Fault-Tolerant Wavelength-Routed Optical Networks-on-Chip Topology. MDPI Applied Sciences 13 (15), 2023, 8871 mehr… BibTeX Volltext ( DOI ) WWW
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Ein kostengünstiges, tragbares Open-Source-Sensorboard mit drahtloser Kommunikation und Fluoreszenzdetektion zur farbmetrischen Detektion für mikrofluidische Anwendungen. VDE/VDI-GMM MikroSystemTechnik Kongress, 2023 mehr… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Eine interaktive Design-Plattform für 3D-gedruckte mehrlagige Mikrofluidikchips mit Design-for-Manufacturing-Funktion. VDE/VDI-GMM MikroSystemTechnik Kongress, 2023 mehr… BibTeX
  • Li, Mengchu; Zhang, Yushen; Lee, Ju Young; Gasvoda, Hudson; Araci, Ismail Emre; Tseng, Tsun-Ming; Schlichtmann, Ulf: Integrated Test Module Design for Microfluidic Large-Scale Integration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (6), 2023, 1939 - 1950 mehr… BibTeX Volltext (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Schlichtmann, Ulf: Accurate Infinite-order Crosstalk Calculation for Optical Networks-on-Chip. IEEE/OSA Journal of Lightwave Technology (JLT) 41 (1), 2023, 4 - 16 mehr… BibTeX Volltext (mediaTUM)
  • Liang, Siyuan; Lian, Meng; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: ARMM: Adaptive Reliability Quantification Model of Microfluidic Designs and Its Graph-Transformer-Based Implementation. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023 mehr… BibTeX Volltext (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: XRing: A Crosstalk-Aware Synthesis Method for Wavelength-Routed Optical Ring Routers. Design, Automation and Test in Europe (DATE), 2023 mehr… BibTeX Volltext (mediaTUM)
  • Lian, Meng; Zhang, Yushen; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: FXT-Route: Efficient High-Performance PCB Routing with Crosstalk Reduction Using Spiral Delay Lines. ACM/SIGDA International Symposium on Physical Design (ISPD), 2023 mehr… BibTeX Volltext (mediaTUM)
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: ColoriSens: An open-source and low-cost portable color sensor board for microfluidic integration with wireless communication and fluorescence detection. HardwareX 11, 2022 mehr… BibTeXWWW
  • Xiao, Moyuan; Tseng, Tsun-Ming; Schlichtmann, Ulf: Crosstalk-Aware Automatic Topology Customization and Optimization for Wavelength-Routed Optical NoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 41 (12), 2022, 5261 - 5274 mehr… BibTeX Volltext (mediaTUM)
  • Shen, Duan; Zhang, Yushen; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: Contamination-Free Switch Design and Synthesis for Microfluidic Large-Scale Integration. Design, Automation and Test in Europe (DATE), 2022 mehr… BibTeX Volltext (mediaTUM)
  • Liang, Siyuan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: CoMUX: Combinatorial-Coding-Based High-Performance Microfluidic Control Multiplexer Design. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Zheng, Zhidan; Truppel, Alexandre; Schlichtmann, Ulf: Efficiency-Oriented Design Automation Methods for Wavelength-Routed Optical Network-on-Chip. In: Silicon Photonics for High-Performance Computing and Beyond. CRC Press, 2021, 177 -- 187 mehr… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Portable All-in-One Automated Microfluidic System (PAMICON) with 3D-Printed Chip Using Novel Fluid Control Mechanism. Nature Portfolio Scientific Reports 11, 2021 mehr… BibTeXWWW
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Ein tragbares, automatisiertes All-in-One-Mikrofluidiksystem mit 3D-gedrucktem Chip und neuartigem Fluidsteuerungsmechanismus. VDE/VDI-GMM MikroSystemTechnik Kongress, 2021 mehr… BibTeX
  • Arisawa, Kanta; Yamashita, Shigeru; Tseng, Tsun-Ming: MRR Usage Optimization for WRONoC Topology Generation and Communication Parallelism Depending on Bandwidth Requirements. Synthesis And System Integration of Mixed Information technologies (SASIMI), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Lian, Meng; Li, Mengchu; Rinklin, Philipp; Grob, Leroy; Wolfrum, Bernhard; Schlichtmann, Ulf: Manufacturing Cycle-Time Optimization Using Gaussian Drying Model for Inkjet-Printed Electronics. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Xiao, Moyuan; Tseng, Tsun-Ming; Schlichtmann, Ulf: FAST: A Fast Automatic Sweeping Topology Customization Method for Application-Specific Wavelength-Routed Optical NoCs. Design, Automation and Test in Europe (DATE), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Zuo, Fangda; Li, Mengchu; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Relative-Scheduling-Based High-Level Synthesis for Flow-Based Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: ToPro: A Topology Projector and Waveguide Router for Wavelength-Routed Optical Networks-on-Chip. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: Light: A Scalable and Efficient Wavelength-Routed Optical Networks-On-Chip Topology. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 mehr… BibTeX Volltext (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Alves, José Carlos; Schlichtmann, Ulf: PSION+: Combining logical topology and physical layout optimization for Wavelength-Routed ONoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Tala, Mahdi; Schlichtmann, Ulf: Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-on-Chips. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020 mehr… BibTeX Volltext (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Schlichtmann, Ulf: PSION 2: Optimizing Physical Layout of Wavelength-Routed ONoCs for Laser Power Reduction. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 mehr… BibTeX Volltext (mediaTUM)
  • Rinklin, Philipp; Tseng, Tsun-Ming; Liu, Cai; Li, Mengchu; Terkan, Korkut; Grob, Leroy; Adly, Nouran; Zips, Sabine; Weiß, Lennart; Schlichtmann, Ulf; Wolfrum, Bernhard: Electronic Design Automation for Increased Robustness in Inkjet-Printed Electronics. Flexible and Printed Electronics, 2019 mehr… BibTeXWWW
  • Li, Mengchu; Tseng, Tsun-Ming; Ma, Yanlu; Ho, Tsung-Yi; Schlichtmann, Ulf: VOM: Flow-Path Validation and Control-Sequence Optimization for Multilayered Continuous-Flow Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Zhang, Yushen; Ho, Tsung-Yi; Schlichtmann, Ulf: Cloud Columba: Accessible Design Automation Platform for Production and Inspiration. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Truppel, Alexandre; Li, Mengchu; Nikdast, Mahdi; Schlichtmann, Ulf: Wavelength-Routed Optical NoCs: Design and EDA — State of the Art and Future Directions. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 mehr… BibTeX Volltext (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Alves, José Carlos; Schlichtmann, Ulf: PSION: Combining logical topology and physical layout optimization for Wavelength-Routed ONoCs. ACM/SIGDA International Symposium on Physical Design (ISPD), 2019 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Freitas, Daniel Nestor; McAuley, Travis; Li, Bing; Ho, Tsung-Yi; Araci, Ismail Emre; Schlichtmann, Ulf: Columba 2.0: A Co-Layout Synthesis Tool for Continuous-Flow Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (8), 2018, 1588-1601 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Bertozzi, Davide; Tala, Mahdi; Schlichtmann, Ulf: CustomTopo: A Topology Generation Method for Application-Specific Wavelength-Routed Optical NoCs. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Freitas, Daniel Nestor; Mongersun, Amy; Araci, Ismail Emre; Ho, Tsung-Yi; Schlichtmann, Ulf: Columba S: A Scalable Co-Layout Design Automation Tool for Microfluidic Large-Scale Integration. ACM/IEEE Design Automation Conference (DAC), 2018 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: An Efficient Two-Phase ILP-Based Algorithm for Precise CMOS RFIC Layout Generation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (8), 2017, 1313-1326 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Component-Oriented High-Level Synthesis for Continuous-Flow Microfluidics Considering Hybrid-Scheduling. ACM/IEEE Design Automation Conference (DAC), 2017 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: Novel CMOS RFIC Layout Generation with Concurrent Device Placement and Fixed-Length Microstrip Routing. ACM/IEEE Design Automation Conference (DAC), 2016 mehr… BibTeX Volltext (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Sieve-valve-aware Synthesis of Flow-based Microfluidic Biochips Considering Specific Biological Execution Limitations. Design, Automation and Test in Europe (DATE), 2016 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Columba: Co-Layout Synthesis for Continuous-Flow Microfluidic Biochips. ACM/IEEE Design Automation Conference (DAC), 2016 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Li, Mengchu; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis with Dynamic Device Mapping and Fluid Routing for Flow-based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (12), 2016, 1981-1994 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Li, Bing; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Design Automation for Microfluidic Biochips Considering Efficiency and Reliability. MikroSystemTechnik Kongress, 2015 mehr… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: ILP-based Alleviation of Dense Meander Segments with Prioritized Shifting and Progressive Fixing in PCB Routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis for Flow-based Microfluidic Biochips by Dynamic-device Mapping. ACM/IEEE Design Automation Conference (DAC), 2015 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Schlichtmann, Ulf; Ho, Tsung-Yi: Storage and Caching: Synthesis of Flow-based Microfluidic Biochips. IEEE Design and Test, 2015 mehr… BibTeX Volltext ( DOI ) Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Iterative Refinement of Dense Meander Segments in High-speed Printed Circuit Boards. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, 2013 mehr… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Refinement for High-Frequency PCBs Considering Meander Segment Alleviation. ACM Great Lake Symposium on VLSI (GLSVLSI), 2013 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Alleviation of Dense Meander Segments in High-Performance Printed Circuit Boards. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013 mehr… BibTeX Volltext (mediaTUM)
  • Tseng, Tsun-Ming; Chao, Mango C.-T.; Lu, Chien-Pang; Lo, Chen-Hsing: Power-Switch Routing for Coarse-Grain MTCMOS Technologies. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2009 mehr… BibTeX Volltext (mediaTUM)