no image

PD Dr.-Ing. habil. Bing Li

Technical University of Munich

Chair of Electronic Design Automation (Prof. Schlichtmann)

Postal address

Postal:
Arcisstr. 21
80333 München

Publications

  • Eldebiky, Amro; Zhang, Grace Li; Böcherer, Georg; Li, Bing; Schlichtmann, Ulf: CorrectNet+: Dealing with HW Non-Idealities in In-Memory-Computing Platforms by Error Suppression and Compensation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2024 more… BibTeX
  • Chen, Chuangtao; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Schlichtmann, Ulf; Li, Bing: Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Huang, Qingrong; Barkam, Hamza Errahmouni; Yang, Zeyu; Yang, Jianyi; Kämpfe, Thomas; Ni, Kai; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Imani, Mohsen; Zhuo, Cheng; Yin, Xunzhao: A FeFET-based Time-Domain Associative Memory for Multi-bit Similarity Computation. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Ibrahimpasic, Tarik; Zhang, Li; Brunner, Michaela; Sigl, Georg; Li, Bing; Schlichtmann, Ulf: ScanCamouflage: Obfuscating Scan Chains with Camouflaged Sequential and Logic Gates. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Qiu, Ruidi; Eldebiky, Amro; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Schlichtmann, Ulf; Li, Bing: OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Xu, Kangwei; Zhang, Grace Li; Schlichtmann, Ulf; Li, Bing: Logic Design of Neural Networks for High-Throughput and Low-Power Applications. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2024 more… BibTeX
  • Blümm, Christian; Liu, Bo; Li, Bing; Rahman, Talha; Hossain, Md Sabbir-Bin; Schaedler, Maximilian; Schlichtmann, Ulf; Kuschnerov, Maxim; Calabrò, Stefano: Hardware-efficient Duobinary Neural Network Equalizers for 800Gb/s IM/DD PAM4 Transmission over 10km SSMF. IEEE/OSA Journal of Lightwave Technology (JLT) 41 (12), 2023, 3783-3790 more… BibTeX
  • Chen, Tinghuan; Zhang, Li; Yu, Bei; Li, Bing; Schlichtmann, Ulf: Machine Learning in Advanced IC Design: A Methodological Survey. IEEE Design & Test 40 (1), 2023, 17-33 more… BibTeX
  • Gao, Di; Yang, Zeyu; Huang, Qingrong; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: BRoCoM: A Bayesian Framework for Robust Computing on Memristor Crossbar. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (7), 2023, 2136-2148 more… BibTeX
  • Schlichtmann, Ulf; Yu, Bei; Li, Bing; Gal, Raviv: Guest Editors’ Introduction: Special Issue on Machine Learning for CAD / EDA. IEEE Design and Test, 2023 more… BibTeX
  • Yin, Xunzhao; Qian, Yu; Imani, Mohsen; Ni, Kai; Li, Chao; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Ferroelectric Ternary Content Addressable Memories for Energy Efficient Associative Search. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (4), 2023, 1099-1112 more… BibTeX
  • Blümm, Christian; Liu, Bo; Rahman, Talha; Li, Bing; Schlichtmann, Ulf; Calabrò, Stefano: Towards Neural Network Equalizer Implementations for IM/DD Transceivers. OptoElectronics and Communications Conference (OECC), 2023 more… BibTeX
  • Chen, Wei-Lun; Gu, Fang-Yi; Lin, Ing-Chao; Zhang, Li; Li, Bing; Schlichtmann, Ulf: A Novel and Efficient Block-Based Programming for ReRAM-Based Neuromorphic Computing. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023 more… BibTeX
  • Eldebiky, Amro; Böcherer, Georg; Schaedler, Maximilian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Implementation of a Robust and Power-Efficient Nonlinear 64-QAM Demapper using In-Memory Computing. Optical Fiber Communication Conference (OFC), 2023 more… BibTeX
  • Eldebiky, Amro; Zhang, Li; Li, Bing: Countering Uncertainties in In-Memory-Computing Platforms with Statistical Training, Accuracy Compensation and Recursive Test. Design, Automation and Test in Europe (DATE), 2023 more… BibTeX
  • Eldebiky, Amro; Li, Bing; Zhang, Li: NearUni: Near-Unitary Training for Efficient Optical Neural Networks. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023 more… BibTeX
  • Liu, Bo; Blümm, Christian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Area-Efficient Neural Network CD Equalizer for 4x200Gb/s PAM4 CWDM4 Systems. Optical Fiber Communication Conference (OFC), 2023 more… BibTeX
  • Liu, Bo; Blümm, Christian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Area-Efficient Hardware Parallelization of Neural Network CD Equalizers for 4×200 Gb/s PAM4 CWDM4 Systems. European Conference on Optical Communication (ECOC), 2023 more… BibTeX
  • Eldebiky, Amro; Zhang, Li; Böcherer, Georg; Li, Bing; Schlichtmann, Ulf: CorrectNet: Robustness Enhancement of Analog In-Memory Computing for Neural Networks by Error Suppression and Compensation. Design, Automation and Test in Europe (DATE), 2023 more… BibTeXWWW
  • Sun, Wenhao; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaxi; Li, Bing; Schlichtmann, Ulf: SteppingNet: A Stepping Neural Network with Incremental Accuracy Enhancement. Design, Automation and Test in Europe (DATE), 2023 more… BibTeXWWW
  • Sun, Wenhao; Zhang, Li; Gu, Huaxi; Li, Bing; Schlichtmann, Ulf: Class-based Quantization for Neural Networks. Design, Automation and Test in Europe (DATE), 2023 more… BibTeX Full text ( DOI ) WWW
  • Petri, Richard; Zhang, Li; Chen, Yiran; Schlichtmann, Ulf; Li, Bing: PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration. ACM/IEEE Design Automation Conference (DAC), 2023 more… BibTeX Full text ( DOI ) WWW
  • Huang, Xing; Guo, Wenzhong; Chen, Zhisheng; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Flow-Based Microfluidic Biochips with Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization. IEEE Transactions on Computers (TC) 71 (2), 2022, 464 -- 478 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Li, Zepeng; Liu, Genggeng; Wang, Lu; Li, Qingshan; Guo, Wenzhong; Li, Bing; Schlichtmann, Ulf: MiniControl 2.0: Co-Synthesis of Flow and Control Layers for Microfluidic Biochips With Strictly Constrained Control Ports. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Zhang, Li; Li, Bing; Huang, Xing; Yin, Xunzhao; Zhuo, Cheng; Hashimoto, Masanori; Schlichtmann, Ulf: VirtualSync+: Timing Optimization with Virtual Synchronization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Yu, Hui-Chieh; Lin, Yu-Huei; Chen, Zhiyang; Li, Bing; Huang, Xing; Schlichtmann, Ulf; Ho, Tsung-Yi; Yao, Hailong: Contamination-Aware Synthesis for Programmable Microfluidic Devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Brunner, Michaela; Ibrahimpasic, Tarik; Li, Bing; Zhang, Li; Schlichtmann, Ulf; Sigl, Georg: Timing Camouflage Enabled State Machine Obfuscation. IEEE International Conference on Physical Assurance and Inspection of Electronics (PAINE), 2022 more… BibTeX
  • Blümm, Christian; Liu, Bo; Li, Bing; Rahman, Talha; Hossain, Md Sabbir-Bin; Schaedler, Maximilian; Schlichtmann, Ulf; Kuschnerov, Maxim; Calabrò, Stefano: 800Gb/s PAM4 Transmission Over 10km SSMF Enabled by Low-Complex Duobinary Neural Network Equalization. European Conference on Optical Communication (ECOC), 2022 more… BibTeX
  • Cai, Jiahao; Imani, Mohsen; Ni, Kai; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Energy Efficient Data Search Design and Optimization Based on A Compact Ferroelectric FET Content Addressable Memory. ACM/IEEE Design Automation Conference (DAC), 2022 more… BibTeX
  • Eldebiky, Amro; Böcherer, Georg; Zhang, Li; Li, Bing; Schaedler, Maximilian; Calabrò, Stefano; Schlichtmann, Ulf: Power-Efficient and Robust Nonlinear Demapper for 64QAM Using in-Memory Computing. European Conference on Optical Communication (ECOC), 2022 more… BibTeX
  • Li, Ziru; Zheng, Qilin; Yan, Bonan; Huang, Ru; Li, Bing; Chen, Yiran: ASTERS: Adaptable Threshold Spike-timing Neuromorphic Design with Twin-Column ReRAM Synapses. ACM/IEEE Design Automation Conference (DAC), 2022 more… BibTeX
  • Ye, Wenwen; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Aging Aware Retraining for Memristor-based Neuromorphic Computing. The IEEE International Symposium on Circuits and Systems (ISCAS), 2022 more… BibTeX
  • Guo, Chuliang; Zhang, Li; Zhou, Xian; Zhang, Grace Li; Li, Bing; Qian, Weikang; Yin, Xunzhao; Zhuo, Cheng: A Reconfigurable Multiplier for Signed Multiplications with Asymmetric Bit-widths. ACM Journal on Emerging Technologies in Computing Systems (JETC) - Special Issue on Cross-Layer System Design and Regular Papers, 2021 more… BibTeX
  • Huang, Xing; Guo, Wenzhong; Chen, Zhisheng; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Flow-Based Microfluidic Biochips with Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization. IEEE Transactions on Computers (TC), 2021 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Guo, Wenzhong; Li, Bing; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Computer-aided Design Techniques for Flow-based Microfluidic Lab-on-a-chip Systems. ACM Computing Surveys 54 (5), 2021 more… BibTeX
  • Huang, Xing; Pan, Youlin; Zhang, Li; Li, Bing; Guo, Wenzhong; Ho, Tsung-Yi; Schlichtmann, Ulf: PathDriver+: Enhanced Path-Driven Architecture Design for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021 more… BibTeX
  • Liu, Chunfeng; Huang, Xing; Li, Bing; Yao, Hailong; Pop, Paul; Ho, Tsung-Yi; Schlichtmann, Ulf: DCSA: Distributed Channel-Storage Architecture for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40 (1), 2021, 115 -- 128 more… BibTeX
  • Chuang, Yu-Kai; Zhong, Yong; Cheng, Yi-Hao; Yu, Bo-Yi; Fang, Shao-Yun; Li, Bing; Schlichtmann, Ulf: RobustONoC: Fault-Tolerant Optical Networks-on-Chip with Path Backup and Signal Reflection. IEEE International Symposium on Quality Electronic Design (ISQED), 2021 more… BibTeX
  • Gao, Di; Huang, Qingrong; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Bayesian Inference Based Robust Computing on Memristor Crossbar. ACM/IEEE Design Automation Conference (DAC), 2021 more… BibTeX
  • Gao, Di; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Reliable Memristor-based Neuromorphic Design Using Variation- and Defect-Aware Training. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX
  • Han, Zhao; Wang, Deyan; Rutsch, Gabriel; Li, Bowen; Prebeck, Sebastian Siegfried; Lopera, Daniela Sanchez; Devarajegowda, Keerthikumara; Ecker, Wolfgang: Aspect-Oriented Design Automation with Model Transformation. International Conference on VLSI and System-on-Chip (VLSI-SoC), 2021 more… BibTeX
  • Lo, Yun-Chen; Li, Bing; Park, Sooyong; Shin, Kwanwoo; Ho, Tsung-Yi: Interference-Free Design Methodology for Paper-Based Digital Microfluidic Biochips. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 more… BibTeX
  • Qian, Yu; Fan, Zhenhao; Wang, Haoran; Li, Chao; Ni, Kai; Imani, Mohsen; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Zhang, Jingyao; Gu, Huaxi; Zhang, Li; Li, Bing; Schlichtmann, Ulf: Hardware-Software Codesign of Weight Reshaping and Systolic Array Multiplexing for Efficient CNNs. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Zhang, Li; Li, Bing; Huang, Xing; Shen, Chen; Zhang, Shuhang; Burcea, Florin; Graeb, Helmut; Ho, Tsung-Yi; Li, Hai (Helen); Schlichtmann, Ulf: An Efficient Programming Framework for Memristor-based Neuromorphic Computing. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Zhang, Li; Li, Bing; Zhu, Ying; Wang, Tianchen; Shi, Yiyu; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaxi; Ho, Tsung-Yi; Schlichtmann, Ulf: Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 more… BibTeX
  • Wang, Qin; Ji, Weiqing; Li, Zeyan; Cheong, Haena; Kwon, Oh‐Sun; Yao, Hailong; Ho, Tsung‐Yi; Shin, Kwanwoo; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Integrated Control‐Fluidic CoDesign Methodology for Paper‐Based Digital Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (3), 2020 more… BibTeX Full text ( DOI )
  • Zhu, Ying; Huang, Xing; Li, Bing; Ho, Tsung-Yi; Wang, Qin; Yao, Hailong; Wille, Robert; Schlichtmann, Ulf: MultiControl: Advanced Control Logic Synthesis for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (10), 2020 more… BibTeX Full text ( DOI )
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test Generation for Flow-Based Microfluidic Biochips with General Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (10), 2020 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Li, Meng; Yu, Bei; Pan, David Z.; Brunner, Michaela; Sigl, Georg; Schlichtmann, Ulf: TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (12), 2020, 4482-4495 more… BibTeX Full text ( DOI )
  • Choudhary, Gautam; Pal, Sandeep; Kundu, Debraj; Bhattacharjee, Sukanta; Yamashita, Shigeru; Li, Bing; Schlichtmann, Ulf; Roy, Sudip: Transport-Free Module Binding for Sample Preparation using Microfluidic Fully Programmable Valve Arrays. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Huang, Xing; Pan, Youlin; Zhang, Li; Li, Bing; Guo, Wenzhong; Ho, Tsung-Yi; Schlichtmann, Ulf: PathDriver: A Path-Driven Architectural Synthesis Flow for Continuous-Flow Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX
  • Zhang, Li; Brunner, Michaela; Li, Bing; Sigl, Georg; Schlichtmann, Ulf: Timing Resilience for Efficient and Secure Circuits. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020 more… BibTeX
  • Zhang, Shuhang; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: A Pulse-width Modulation Neuron with Continuous Activation for Processing-In-Memory Engines. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Zhang, Li; Li, Bing; Zhu, Ying; Zhang, Shuhang; Wang, Tianchen; Shi, Yiyu; Ho, Tsung-Yi; Li, Hai (Helen); Schlichtmann, Ulf: Reliable and Robust RRAM-based Neuromorphic computing. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2020 more… BibTeX
  • Zhang, Shuhang; Zhang, Li; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: Lifetime Enhancement for RRAM-Based Computing-In-Memory Engine Considering Aging and Thermal Effects. IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020 more… BibTeX
  • Zhu, Ying; Zhang, Li; Li, Bing; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaixi; Ho, Tsung-Yi; Schlichtmann, Ulf: Countering Variations and Thermal Effects for Accurate Optical Neural Networks. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX
  • Zhu, Ying; Zhang, Li; Wan, Tianchen; Li, Bing; Shi, Yiyu; Ho, Tsung-Yi; Schlichtmann, Ulf: Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noise. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Zhang, Li; Li, Bing; Shi, Yiyu; Hu, Jiang; Schlichtmann, Ulf: EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019 more… BibTeX Full text ( DOI )
  • Burcea, Florin; Herrmann, Andreas; Li, Bing; Graeb, Helmut: MEMS-IC Robustness Optimization Considering Electrical and Mechanical Design and Process Parameters. ACM Transactions on Design Automation of Electronic Systems, 2019 more… BibTeX Full text ( DOI )
  • Burcea, Florin; Herrmann, Andreas; Li, Bing; Graeb, Helmut: MEMS-IC Yield Optimization with Electrical and Mechanical Process Parameters. CDNLive, 2019 more… BibTeX
  • Bernardini, Alessandro; Liu, Chunfeng; Li, Bing; Schlichtmann, Ulf: Fault Localization in Programmable Microfluidic Devices. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Chen, Zhisheng; Huang, Xing; Guo, Wenzhong; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Physical Synthesis of Flow-Based Microfluidic Biochips Considering Distributed Channel Storage. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Guo, Wenzhong; Li, Bing; Schlichtmann, Ulf: MiniControl: Synthesis of Continuous-flow Microfluidics with Strictly Constrained Control Ports. ACM/IEEE Design Automation Conference (DAC), 2019 more… BibTeX
  • Lin, Yu-Huei; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf: Block-Flushing: A Block-based Washing Algorithm for Programmable Microfluidic Devices. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Yifang, Bao; Li, Bing; Ho, Tsung-Yi; Yamashita, Shigeru: Performance Improvements for Block-Flushing. Workshop on Synthesis And System Integration of Mixed Information Technologies, 2019 more… BibTeX
  • Zhang, Shuhang; Zhang, Li; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: Aging-aware Lifetime Enhancement for Memristor-based Neuromorphic Computing. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Bernardini, Alessandro; Liu, Chunfeng; Li, Bing; Schlichtmann, Ulf: Efficient spanning-tree-based test pattern generation for Programmable Microfluidic Devices. Microelectronics Journal, 2018 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Liu, Jinglan; Shi, Yiyu; Schlichtmann, Ulf: Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (2), 2018, 392--405 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Shi, Yiyu; Hu, Jiang; Schlichtmann, Ulf: EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018 more… BibTeX Full text ( DOI )
  • Li, Bing; Hashimoto, Masanori; Schlichtmann, Ulf: From Process Variations to Reliability: A Survey of Timing of Digital Circuits in the Nanometer Era. IPSJ Transactions on System LSI Design Methodology 11, 2018, 2-15 more… BibTeX Full text ( DOI )
  • Burcea, Florin; Herrmann, Andreas; Li, Bing; Graeb, Helmut: MEMS-IC Optimization Considering Design Parameters and Manufacturing Variation from both Mechanical and Electrical Side. IEEE International Conference on Electronics, Circuits, and Systems (ICECS), 2018 more… BibTeX
  • Chuang, Yu-Kai; Chen, Kuan-Jung; Lin, Kun-Lin; Fang, Shao-Yun; Li, Bing; Schlichtmann, Ulf: PlanarONoC: Concurrent Placement and Routing Considering Crossing Minimization for Optical Networks-on-Chip. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018 more… BibTeX
  • Jiao, Fengxian; Dong, Sheqin; Yu, Bei; Li, Bing; Schlichtmann, Ulf: Thermal-Aware Placement and Routing for 3D Optical Networks-on-Chips. IEEE International Symposium on Circuits and Systems (ISCAS), 2018 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test Generation for Microfluidic Fully Programmable Valve Arrays (FPVAs) with Heuristic Acceleration. International Conference on IC Design and Technology (Invited Paper), 2018 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Ho, Tsung-Yi; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Design-for-Testability for Continuous-Flow Microfluidic Biochips. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Wille, Robert; Li, Bing; Drechsler, Rolf; Schlichtmann, Ulf: Automatic Design of Microfluidic Devices - An Overview of Platforms and Corresponding Design Tasks. Forum on Specification and Design Languages (FDL), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Hashimoto, Masanori; Schlichtmann, Ulf: VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Zhu, Ying; Li, Bing; Ho, Tsung-Yi; Wang, Qin; Yao, Hailong; Wille, Robert; Schlichtmann, Ulf: Multi-Channel and Fault-Tolerant Control Multiplexing for Flow-Based Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Yu, Bei; Pan, David Z.; Schlichtmann, Ulf: TimingCamouflage: Improving Circuit Security against Counterfeiting by Unconventional Timing. Design, Automation and Test in Europe (DATE), 2018 more… BibTeX
  • Tseng, Tsun-Ming; Li, Mengchu; Freitas, Daniel Nestor; McAuley, Travis; Li, Bing; Ho, Tsung-Yi; Araci, Ismail Emre; Schlichtmann, Ulf: Columba 2.0: A Co-Layout Synthesis Tool for Continuous-Flow Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (8), 2018, 1588-1601 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: An Efficient Two-Phase ILP-Based Algorithm for Precise CMOS RFIC Layout Generation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (8), 2017, 1313-1326 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Component-Oriented High-Level Synthesis for Continuous-Flow Microfluidics Considering Hybrid-Scheduling. ACM/IEEE Design Automation Conference (DAC), 2017 more… BibTeX Full text (mediaTUM)
  • Wang, Qin; Xu, Yue; Zuo, Shiliang; Yao, Hailong; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Pressure-Aware Control Layer Optimization for Flow-Based Microfluidic Biochips. IEEE Transactions on Biomedical Circuits and Systems (TBioCAS) 11 (6), 2017, 1488-1499 more… BibTeX Full text ( DOI )
  • Li, Bing; Schlichtmann, Ulf: Reliability-aware Synthesis and Fault Test of Fully Programmable Valve Arrays (FPVAs). IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, (Invited Paper), 2017(Invited Paper) more… BibTeX
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Testing Microfluidic Fully Programmable Valve Arrays (FPVAs) (pdf). Design, Automation and Test in Europe (DATE), 2017 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Yao, Hailong; Pop, Paul; Ho, Tsung-Yi; Schlichtmann, Ulf: Transport or Store? Synthesizing Flow-based Microfluidic Biochips using Distributed Channel Storage. ACM/IEEE Design Automation Conference (DAC), 2017 more… BibTeX
  • Wang, Qin; Zuo, Shiliang; Yao, Hailong; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Hamming-Distance-Based Valve-Switching Optimization for Control Multiplexing in Flow-Based Microfluidic Biochip (pdf). IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2017 more… BibTeX
  • Yigit, Baris; Zhang, Li; Li, Bing; Shi, Yiyu; Schlichtmann, Ulf: Application of Machine Learning Methods in Post-Silicon Yield Improvement. IEEE International System on Chip Conference (SOCC), 2017 more… BibTeX
  • Wang, Qin; Li, Zeyan; Cheong, Haena; Kwon, Oh-Sun; Yao, Hailong; Ho, Tsung-Yi; Shin, Kwanwoo; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Control-Fluidic CoDesign for Paper-Based Digital Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Wille, Robert; Li, Bing; Schlichtmann, Ulf; Drechsler, Rolf: From Biochips to Quantum Circuits: Computer-Aided Design for Emerging Technologies. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: Sampling-based Buffer Insertion for Post-Silicon Yield Improvement under Process Variability. Design, Automation and Test in Europe (DATE), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers (Best paper award nomination). ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Zhang, Li; Listl, Alexandra; Li, Bing; Schlichtmann, Ulf: Effizienter Verzögerungstest zur Optimierung der Taktfrequenz einer Schaltung durch nach der Fertigung konfigurierbare Puffer. edaWorkshop, 2016 more… BibTeX
  • Schlichtmann, Ulf; Hashimoto, Masanori; Jiang, Iris Hui-Ru; Li, Bing: Reliability, Adaptability and Flexibility in Timing: Buy a Life Insurance for Your Circuits. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2016 more… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: Novel CMOS RFIC Layout Generation with Concurrent Device Placement and Fixed-Length Microstrip Routing. ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Sieve-valve-aware Synthesis of Flow-based Microfluidic Biochips Considering Specific Biological Execution Limitations. Design, Automation and Test in Europe (DATE), 2016 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Columba: Co-Layout Synthesis for Continuous-Flow Microfluidic Biochips. ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Li, Mengchu; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis with Dynamic Device Mapping and Fluid Routing for Flow-based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (12), 2016, 1981-1994 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Kumar, Rohit; Li, Bing; Shen, Yiren; Schlichtmann, Ulf; Hu, Jiang: Timing Verification for Adaptive Integrated Circuits. Design, Automation and Test in Europe (DATE), 2015 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Evaluation of circuit performance and configuration reduction considering post-silicon clock skew tuning. edaWorkshop, 2015 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Statistical Timing Analysis and Criticality Computation for Circuits With Post-Silicon Clock Tuning Elements. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015 more… BibTeX Full text ( DOI )
  • Li, Bing; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Design Automation for Microfluidic Biochips Considering Efficiency and Reliability. MikroSystemTechnik Kongress, 2015 more… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: ILP-based Alleviation of Dense Meander Segments with Prioritized Shifting and Progressive Fixing in PCB Routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis for Flow-based Microfluidic Biochips by Dynamic-device Mapping. ACM/IEEE Design Automation Conference (DAC), 2015 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Schlichtmann, Ulf; Ho, Tsung-Yi: Storage and Caching: Synthesis of Flow-based Microfluidic Biochips. IEEE Design and Test, 2015 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Iterative Refinement of Dense Meander Segments in High-speed Printed Circuit Boards. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, 2013 more… BibTeX
  • Li, Bing; Chen, Ning; Xu, Yang; Schlichtmann, Ulf: On Timing Model Extraction and Hierarchical Statistical Timing Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32 (3), 2013, 367-380 more… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Refinement for High-Frequency PCBs Considering Meander Segment Alleviation. ACM Great Lake Symposium on VLSI (GLSVLSI), 2013 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Alleviation of Dense Meander Segments in High-Performance Printed Circuit Boards. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013 more… BibTeX Full text (mediaTUM)
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Iterative Timing Analysis Based on Nonlinear and Interdependent Flipflop Modelling. IET Circuits, Devices & Systems, 2012 more… BibTeX
  • Xu, Yang; Li, Bing; Hasholzner, Ralph; Rohfleisch, Bernhard; Haubelt, Christian; Teich, Jürgen: Variation-Aware Leakage Power Model Extraction for System-Level Hierarchical Power Analysis. Design, Automation and Test in Europe (DATE), 2012 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Mathematical Modeling of Process Variations – 3.3. In: Dietrich, Manfred; Haase, Joachim (Ed.): Process Variations and Probabilistic Integrated Circuit Design. Springer, 2012, 81-88 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Statistical Static Timing Analysis – 4.3. In: Dietrich, Manfred; Haase, Joachim (Ed.): Process Variations and Probabilistic Integrated Circuit Design. Springer, 2012, 117-126 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Statistical Timing Analysis for Latch-Controlled Circuits With Reduced Iterations and Graph Transformations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31 (11), 2012, 1670-1683 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Fast Statistical Timing Analysis for Circuits with Post-Silicon Tunable Clock Buffers. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Timing Modeling of Flipflops Considering Aging Effects. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Lecture Notes in Computer Science), 2011 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Iterative Timing Analysis Considering Interdependency of Setup and Hold Times. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Lecture Notes in Computer Science), 2011 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Fast Statistical Timing Analysis of Latch-Controlled Circuits for Arbitrary Clock Periods. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010, 524-531 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Sensitivity Based Parameter Reduction for Statistical Analysis of Circuit Performance. IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2009 more… BibTeX
  • Li, Bing; Chen, Ning; Schmidt, Manuel; Schneider, Walter; Schlichtmann, Ulf: On Hierarchical Statistical Static Timing Analysis. Design, Automation and Test in Europe (DATE), 2009 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Timing Model Extraction for Sequential Circuits Considering Process Variations. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2009, 336-343 more… BibTeX
  • Li, Bing; Knoth, Christoph; Schmidt, Manuel; Schneider, Walter; Schlichtmann, Ulf: Static Timing Model Extraction for Combinational Circuits. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Springer, 2008 more… BibTeXWWW
  • Schneider, Walter; Schmidt, Manuel; Li, Bing; Schlichtmann, Ulf: A New Bounding Technique for Handling Arbitrary Correlations in Path-Based SSTA. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Springer, 2008 more… BibTeXWWW
  • Knoth, C.; Kleeberger, V.; Schmidt M.; Li, B.; Schlichtmann, U.;: Transfer System Models of Logic Gates for Waveform-based Timing Analysis. Proceedings SM^2ACD'08, 2008, 247-252 more… BibTeX Full text (mediaTUM) WWW