Picture of Ulf Schlichtmann

Prof. Dr.-Ing. Ulf Schlichtmann

Technical University of Munich

Chair of Electronic Design Automation (Prof. Schlichtmann)

Postal address

Postal:
Arcisstr. 21
80333 München

Publications

  • Eldebiky, Amro; Zhang, Grace Li; Böcherer, Georg; Li, Bing; Schlichtmann, Ulf: CorrectNet+: Dealing with HW Non-Idealities in In-Memory-Computing Platforms by Error Suppression and Compensation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2024 more… BibTeX
  • Emrich, Karsten; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf;: A Concise, Architecture-Focused ASIP Modeling Approach for Instruction Set Simulators. Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV), 2024 more… BibTeX
  • Zheng, Zhidan; Cheng, Liaoyuan; Arisawa, Kanta; Li, Qingyu; Truppel, Alexandre; Yamashita, Shigeru; Tseng, Tsun-Ming; Schlichtmann, Ulf: Multi-Resonance Mesh-Based Wavelength-Routed Optical Networks-on-Chip. ACM/IEEE Design Automation Conference (DAC), 2024 more… BibTeX
  • Liang, Siyuan; Zhang, Yushen; Altay, Rana; Gasvoda, Hudson; Li, Mengchu; Araci, Ismail Emre; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: LaMUX: Optimized Logic-Gate-Enabled High-Performance Microfluidic Multiplexer Design. ACM/IEEE Design Automation Conference (DAC), 2024 more… BibTeX
  • Chen, Chuangtao; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Schlichtmann, Ulf; Li, Bing: Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Huang, Qingrong; Barkam, Hamza Errahmouni; Yang, Zeyu; Yang, Jianyi; Kämpfe, Thomas; Ni, Kai; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Imani, Mohsen; Zhuo, Cheng; Yin, Xunzhao: A FeFET-based Time-Domain Associative Memory for Multi-bit Similarity Computation. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Ibrahimpasic, Tarik; Zhang, Li; Brunner, Michaela; Sigl, Georg; Li, Bing; Schlichtmann, Ulf: ScanCamouflage: Obfuscating Scan Chains with Camouflaged Sequential and Logic Gates. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Qiu, Ruidi; Eldebiky, Amro; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Schlichtmann, Ulf; Li, Bing: OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation. Design, Automation and Test in Europe (DATE), 2024 more… BibTeX
  • Xu, Kangwei; Zhang, Grace Li; Schlichtmann, Ulf; Li, Bing: Logic Design of Neural Networks for High-Throughput and Low-Power Applications. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2024 more… BibTeX
  • Bellarmino, Nicolò; Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Martone, Raffaele; Schlichtmann, Ulf; Squillero, Giovanni: A Multi-Label Active Learning Framework for Microcontroller Performance Screening. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023 more… BibTeX
  • Blümm, Christian; Liu, Bo; Li, Bing; Rahman, Talha; Hossain, Md Sabbir-Bin; Schaedler, Maximilian; Schlichtmann, Ulf; Kuschnerov, Maxim; Calabrò, Stefano: Hardware-efficient Duobinary Neural Network Equalizers for 800Gb/s IM/DD PAM4 Transmission over 10km SSMF. IEEE/OSA Journal of Lightwave Technology (JLT) 41 (12), 2023, 3783-3790 more… BibTeX
  • Chen, Tinghuan; Zhang, Li; Yu, Bei; Li, Bing; Schlichtmann, Ulf: Machine Learning in Advanced IC Design: A Methodological Survey. IEEE Design & Test 40 (1), 2023, 17-33 more… BibTeX
  • Gao, Di; Yang, Zeyu; Huang, Qingrong; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: BRoCoM: A Bayesian Framework for Robust Computing on Memristor Crossbar. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (7), 2023, 2136-2148 more… BibTeX
  • Huang, Xing; Pan, Youlin; Chen, Zhen; Guo, Wenzhong; Wang, Lu; Li, Qingshan; Wille, Robert; Ho, Tsung-Yi; Schlichtmann, Ulf: Design Automation for Continuous-Flow Lab-on-a-Chip Systems: A One-Pass Paradigm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (1), 2023, 327 -- 331 more… BibTeX
  • Kilian, Tobias; Tille, Daniel; Huch, Martin; Hanel, Markus; Schlichtmann, Ulf: Performance Screening using Functional Path Ring Oscillators. IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2023 more… BibTeX
  • Schlichtmann, Ulf; Yu, Bei; Li, Bing; Gal, Raviv: Guest Editors’ Introduction: Special Issue on Machine Learning for CAD / EDA. IEEE Design and Test, 2023 more… BibTeX
  • Yin, Xunzhao; Qian, Yu; Imani, Mohsen; Ni, Kai; Li, Chao; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Ferroelectric Ternary Content Addressable Memories for Energy Efficient Associative Search. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (4), 2023, 1099-1112 more… BibTeX
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: LightR: A Fault-Tolerant Wavelength-Routed Optical Networks-on-Chip Topology. MDPI Applied Sciences 13 (15), 2023, 8871 more… BibTeX Full text ( DOI ) WWW
  • Bellarmino, Nicolò; Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Schlichtmann, Ulf; Squillero, Giovanni: Feature selection for cost reduction in MCU performance screening. 24th IEEE Latin-American Test Symposium (LATS2023), 2023 more… BibTeX
  • Bellarmino, Nicolò; Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Schlichtmann, Ulf; Squillero, Giovanni: Semi-Supervised Deep Learning for Microcontroller Performance Screening. IEEE European Test Symposium ETS, 2023 more… BibTeX
  • Blümm, Christian; Liu, Bo; Rahman, Talha; Li, Bing; Schlichtmann, Ulf; Calabrò, Stefano: Towards Neural Network Equalizer Implementations for IM/DD Transceivers. OptoElectronics and Communications Conference (OECC), 2023 more… BibTeX
  • Chen, Wei-Lun; Gu, Fang-Yi; Lin, Ing-Chao; Zhang, Li; Li, Bing; Schlichtmann, Ulf: A Novel and Efficient Block-Based Programming for ReRAM-Based Neuromorphic Computing. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023 more… BibTeX
  • Eldebiky, Amro; Böcherer, Georg; Schaedler, Maximilian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Implementation of a Robust and Power-Efficient Nonlinear 64-QAM Demapper using In-Memory Computing. Optical Fiber Communication Conference (OFC), 2023 more… BibTeX
  • Ji, Weiqing; Yao, Hailong; Ho, Tsung-Yi; Schlichtmann, Ulf; Jin, Xia: GAT-based Concentration Prediction for Random Microfluidic Mixers with Multiple Input Flow Rates. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2023 more… BibTeX
  • Liu, Bo; Blümm, Christian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Area-Efficient Neural Network CD Equalizer for 4x200Gb/s PAM4 CWDM4 Systems. Optical Fiber Communication Conference (OFC), 2023 more… BibTeX
  • Liu, Bo; Blümm, Christian; Calabrò, Stefano; Li, Bing; Schlichtmann, Ulf: Area-Efficient Hardware Parallelization of Neural Network CD Equalizers for 4×200 Gb/s PAM4 CWDM4 Systems. European Conference on Optical Communication (ECOC), 2023 more… BibTeX
  • Lippmann, Bernhard; Hatsch, Joel; Seidl, Stefan; Houdeau, Detlef; Subrahmanyam, Niranjana Papagudi; Schneider, Daniel; Safieh, Malek; Passarelli, Anne; Maftun, Aliza; Brunner, Michaela; Music, Tim; Pehl, Michael; Siddiqui, Tauseef; Brederlow, Ralf; Schlichtmann, Ulf; Driemeyer, Bjoern; Ortmanns, Maurits; Hesselbarth, Robert; Hiller, Matthias: VE-FIDES: Designing Trustworthy Supply Chains Using Innovative Fingerprinting Implementations. Design, Automation and Test in Europe (DATE), 2023 more… BibTeX
  • Lin, Ing-Chao; Wang, Jie-Shih; Chen, Yu-Guang; Schlichtmann, Ulf: Aging-Aware Task Deployment of Heterogeneous Multicore System. ITG/GMM/GI Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 2023 more… BibTeX
  • Stahl, Rafael; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Fused Depthwise Tiling for Memory Optimization in TinyML Deep Neural Network Inference. tinyML Research Symposium, 2023 more… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Ein kostengünstiges, tragbares Open-Source-Sensorboard mit drahtloser Kommunikation und Fluoreszenzdetektion zur farbmetrischen Detektion für mikrofluidische Anwendungen. VDE/VDI-GMM MikroSystemTechnik Kongress, 2023 more… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Eine interaktive Design-Plattform für 3D-gedruckte mehrlagige Mikrofluidikchips mit Design-for-Manufacturing-Funktion. VDE/VDI-GMM MikroSystemTechnik Kongress, 2023 more… BibTeX
  • Geier, Johannes; Auer, Lukas; Mueller-Gritschneder, Daniel; Sharif, Uzair; Schlichtmann, Ulf: CompaSeC: A Compiler-Assisted Security Countermeasure to Address Instruction Skip Fault Attacks on RISC-V. Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASPDAC ), Association for Computing Machinery, 2023 more… BibTeX
  • Eldebiky, Amro; Zhang, Li; Böcherer, Georg; Li, Bing; Schlichtmann, Ulf: CorrectNet: Robustness Enhancement of Analog In-Memory Computing for Neural Networks by Error Suppression and Compensation. Design, Automation and Test in Europe (DATE), 2023 more… BibTeXWWW
  • Li, Mengchu; Zhang, Yushen; Lee, Ju Young; Gasvoda, Hudson; Araci, Ismail Emre; Tseng, Tsun-Ming; Schlichtmann, Ulf: Integrated Test Module Design for Microfluidic Large-Scale Integration. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 42 (6), 2023, 1939 - 1950 more… BibTeX Full text (mediaTUM)
  • Sun, Wenhao; Zhang, Li; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaxi; Li, Bing; Schlichtmann, Ulf: SteppingNet: A Stepping Neural Network with Incremental Accuracy Enhancement. Design, Automation and Test in Europe (DATE), 2023 more… BibTeXWWW
  • Truppel, Alexandre; Tseng, Tsun-Ming; Schlichtmann, Ulf: Accurate Infinite-order Crosstalk Calculation for Optical Networks-on-Chip. IEEE/OSA Journal of Lightwave Technology (JLT) 41 (1), 2023, 4 - 16 more… BibTeX Full text (mediaTUM)
  • Mettler, Marcel; Rapp, Martin; Khdr, Heba; Mueller-Gritschneder, Daniel; Henkel, Jörg; Schlichtmann, Ulf: MonTM: Monitoring-based Thermal Management for Mixed-Criticality Systems. 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2023) ( Open Access Series in Informatics (OASIcs) ), Schloss Dagstuhl -- Leibniz-Zentrum für Informatik , 2023 more… BibTeX Full text ( DOI )
  • Sun, Wenhao; Zhang, Li; Gu, Huaxi; Li, Bing; Schlichtmann, Ulf: Class-based Quantization for Neural Networks. Design, Automation and Test in Europe (DATE), 2023 more… BibTeX Full text ( DOI ) WWW
  • Petri, Richard; Zhang, Li; Chen, Yiran; Schlichtmann, Ulf; Li, Bing: PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration. ACM/IEEE Design Automation Conference (DAC), 2023 more… BibTeX Full text ( DOI ) WWW
  • Liang, Siyuan; Lian, Meng; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: ARMM: Adaptive Reliability Quantification Model of Microfluidic Designs and Its Graph-Transformer-Based Implementation. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023 more… BibTeX Full text (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: XRing: A Crosstalk-Aware Synthesis Method for Wavelength-Routed Optical Ring Routers. Design, Automation and Test in Europe (DATE), 2023 more… BibTeX Full text (mediaTUM)
  • Emrich, Karsten; Foik, Conrad; Kappes, Johannes; Prebeck, Sebastian; Mueller-Gritschneder, Daniel; Ecker, Wolfgang; Schlichtmann, Ulf: A Flexible Simulation Environment for RISC-V. RISC-V Summit Europe, 2023 more… BibTeXWWW
  • Lian, Meng; Zhang, Yushen; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: FXT-Route: Efficient High-Performance PCB Routing with Crosstalk Reduction Using Spiral Delay Lines. ACM/SIGDA International Symposium on Physical Design (ISPD), 2023 more… BibTeX Full text (mediaTUM)
  • Huang, Xing; Guo, Wenzhong; Chen, Zhisheng; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Flow-Based Microfluidic Biochips with Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization. IEEE Transactions on Computers (TC) 71 (2), 2022, 464 -- 478 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Li, Zepeng; Liu, Genggeng; Wang, Lu; Li, Qingshan; Guo, Wenzhong; Li, Bing; Schlichtmann, Ulf: MiniControl 2.0: Co-Synthesis of Flow and Control Layers for Microfluidic Biochips With Strictly Constrained Control Ports. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Listl, Alexandra; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Application-aware aging analysis and mitigation for SRAM Design-for-Reliability. Microelectronics Reliability 134, 2022 more… BibTeX
  • Mettler, Marcel; Rapp, Martin; Khdr, Heba; Mueller-Gritschneder, Daniel; Henkel, Jörg; Schlichtmann, Ulf: An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-Core Processors. ACM Transactions on Architecture and Code Optimization 19 (3), 2022 more… BibTeX
  • Zhang, Li; Li, Bing; Huang, Xing; Yin, Xunzhao; Zhuo, Cheng; Hashimoto, Masanori; Schlichtmann, Ulf: VirtualSync+: Timing Optimization with Virtual Synchronization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Last, Felix; Schlichtmann, Ulf: Training PPA Models for Embedded Memories On a Low Data Diet. ACM Transactions on Design Automation of Electronic Systems, 2022 more… BibTeX
  • Mueller-Gritschneder, Daniel; Schlichtmann, Ulf; Listl, Alexandra; Mettler, Marcel; Zhang, Li: Generation of Distributed Monitors and Run-Time Verification of Invasive Applications – 8. In: Jürgen Teich, Jörg Henkel, Andreas Herkersdorf (Ed.): Invasive Computing. FAU University Press, 2022, 229 -- 253 more… BibTeX
  • Yu, Hui-Chieh; Lin, Yu-Huei; Chen, Zhiyang; Li, Bing; Huang, Xing; Schlichtmann, Ulf; Ho, Tsung-Yi; Yao, Hailong: Contamination-Aware Synthesis for Programmable Microfluidic Devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022 more… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: ColoriSens: An open-source and low-cost portable color sensor board for microfluidic integration with wireless communication and fluorescence detection. HardwareX 11, 2022 more… BibTeXWWW
  • Angione, F.; Appello, D.; Athavale, J.; Bellarmino, Nicolò; Bernardi, P.; Cantoro, Riccardo; Sio, C. De; Foscale, T.; Gavarini, G.; Huch, Martin; Iaria, G.; Kilian, Tobias; Mariani, R.; Martone, Raffaele; Ruospo, A.; Sanchez, E.; Schlichtmann, Ulf; Squillero, Giovanni; Reorda, Matteo Sonza; Sterpone, Luca; Tancorre, V.; Ugioli, R.: Test, Reliability and Functional Safety Trends for Automotive System-on-Chip. IEEE European Test Symposium ETS, 2022 more… BibTeX
  • Bellarmino, Nicolò; Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Schlichtmann, Ulf; Squillero, Giovanni: Microcontroller Performance Screening: Optimizing the Characterization in the Presence of Anomalous and Noisy Data. IEEE 28th International Symposium on On-Line Testing and Robust System Design (IOLTS 2022), 2022 more… BibTeX
  • Brunner, Michaela; Ibrahimpasic, Tarik; Li, Bing; Zhang, Li; Schlichtmann, Ulf; Sigl, Georg: Timing Camouflage Enabled State Machine Obfuscation. IEEE International Conference on Physical Assurance and Inspection of Electronics (PAINE), 2022 more… BibTeX
  • Blümm, Christian; Liu, Bo; Li, Bing; Rahman, Talha; Hossain, Md Sabbir-Bin; Schaedler, Maximilian; Schlichtmann, Ulf; Kuschnerov, Maxim; Calabrò, Stefano: 800Gb/s PAM4 Transmission Over 10km SSMF Enabled by Low-Complex Duobinary Neural Network Equalization. European Conference on Optical Communication (ECOC), 2022 more… BibTeX
  • Cai, Jiahao; Imani, Mohsen; Ni, Kai; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Energy Efficient Data Search Design and Optimization Based on A Compact Ferroelectric FET Content Addressable Memory. ACM/IEEE Design Automation Conference (DAC), 2022 more… BibTeX
  • Eldebiky, Amro; Böcherer, Georg; Zhang, Li; Li, Bing; Schaedler, Maximilian; Calabrò, Stefano; Schlichtmann, Ulf: Power-Efficient and Robust Nonlinear Demapper for 64QAM Using in-Memory Computing. European Conference on Optical Communication (ECOC), 2022 more… BibTeX
  • Foik, Conrad; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: CorePerfDSL: A Flexible Processor Description Language for Software Performance Simulation. Forum on Specification and Design Languages (FDL), 2022 more… BibTeX
  • Ji, Weiqing; Guo, Xingzhuo; Pan, Shouan; Ho, Tsung-Yi; Schlichtmann, Ulf; Yao, Hailong: GNN-based Concentration Prediction for Random Microfluidic Mixers. ACM/IEEE Design Automation Conference (DAC), 2022 more… BibTeX
  • Kilian, Tobias; Ahrens, Heiko; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: A Layout-aware Selection Flow for Functional Path Ring Oscillators. ITG/GMM/GI Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 2022 more… BibTeX
  • Kilian, Tobias; Hanel, Markus; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: Reducing Routing Overhead by Self-Enabling Functional Path Ring Oscillators. IEEE European Test Symposium ETS, 2022 more… BibTeX
  • Kilian, Tobias; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: Reducing Routing Overhead using Natural Loops. European Automotive Reliability, Test and Safety (eARTS) Workshop, 2022 more… BibTeX
  • Last, Felix; Yeni, Ceren; Schlichtmann, Ulf: Differentially Evolving Memory Ensembles: Pareto Optimization based on Computational Intelligence for Embedded Memories on a System Level. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2022 more… BibTeX
  • Saeed, Ahsan; Dasari, Dakshina; Ziegenbein, Dirk; Rajasekaran, Varun; Rehm, Falk; Pressler, Michael; Hamann, Arne; Mueller-Gritschneder, Daniel; Gerstlauer, Andreas; Schlichtmann, Ulf: Memory Utilization-Based Dynamic Bandwidth Regulation for Temporal Isolation in Multi-Cores. 2022 IEEE 28th Real-Time and Embedded Technology and Applications Symposium (RTAS), 2022 more… BibTeX
  • Sharif, Uzair; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: COMPAS: Compiler-assisted Software-implemented Hardware Fault Tolerance for RISC-V. Mediterranean Conference on Embedded Computing (MECO), 2022 more… BibTeX
  • Ye, Wenwen; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Aging Aware Retraining for Memristor-based Neuromorphic Computing. The IEEE International Symposium on Circuits and Systems (ISCAS), 2022 more… BibTeX
  • Zhang, Li; Zhang, Shuhang; Li, Hai (Helen); Schlichtmann, Ulf: RRAM-based Neuromorphic Computing-Data Representation, Architecture, Logic, and Programming. Euromicro Conference on Digital Systems Design (DSD), 2022 more… BibTeX
  • Kilian, Tobias; Hanel, Markus; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: A Path Selection Flow for Functional Path Ring Oscillators using Physical Design Data. IEEE International Test Conference (ITC), 2022 more… BibTeX
  • Xiao, Moyuan; Tseng, Tsun-Ming; Schlichtmann, Ulf: Crosstalk-Aware Automatic Topology Customization and Optimization for Wavelength-Routed Optical NoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 41 (12), 2022, 5261 - 5274 more… BibTeX Full text (mediaTUM)
  • Shen, Duan; Zhang, Yushen; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: Contamination-Free Switch Design and Synthesis for Microfluidic Large-Scale Integration. Design, Automation and Test in Europe (DATE), 2022 more… BibTeX Full text (mediaTUM)
  • Liang, Siyuan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi: CoMUX: Combinatorial-Coding-Based High-Performance Microfluidic Control Multiplexer Design. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 more… BibTeX Full text (mediaTUM)
  • Huang, Xing; Guo, Wenzhong; Chen, Zhisheng; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Flow-Based Microfluidic Biochips with Distributed Channel Storage: Synthesis, Physical Design, and Wash Optimization. IEEE Transactions on Computers (TC), 2021 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Guo, Wenzhong; Li, Bing; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Computer-aided Design Techniques for Flow-based Microfluidic Lab-on-a-chip Systems. ACM Computing Surveys 54 (5), 2021 more… BibTeX
  • Huang, Xing; Pan, Youlin; Zhang, Li; Li, Bing; Guo, Wenzhong; Ho, Tsung-Yi; Schlichtmann, Ulf: PathDriver+: Enhanced Path-Driven Architecture Design for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021 more… BibTeX
  • Liu, Chunfeng; Huang, Xing; Li, Bing; Yao, Hailong; Pop, Paul; Ho, Tsung-Yi; Schlichtmann, Ulf: DCSA: Distributed Channel-Storage Architecture for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40 (1), 2021, 115 -- 128 more… BibTeX
  • Mettler, Marcel; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: A Distributed Hardware Monitoring System for Runtime Verification on Multi-tile MPSoCs. ACM Transactions on Architecture and Code Optimization 18 (1), 2021, 1 -- 25 more… BibTeX
  • Stahl, Rafael; Hoffman, Alexander; Müller-Gritschneder, Daniel; Gerstlauer, Andreas; Schlichtmann, Ulf: DeeperThings: Fully Distributed CNN Inference on Resource-Constrained Edge Devices. International Journal of Parallel Programming, 2021 more… BibTeX
  • Herkersdorf, Andreas; Engel, Michael; Glaß, Michael; Henkel, Jörg; Kleeberger, Veit B.; Kühn, Johannes M.; Marwedel, Peter; Mueller-Gritschneder, Daniel; Nassif, Sani R.; Rehman, Semeen; Rosenstiel, Wolfgang; Schlichtmann, Ulf; Shafique, Muhammad; Teich, Jürgen; Wehn, Norbert; Weis, Christian: RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience. In: Jörg Henkel, Nikil Dutt (Ed.): Dependable Embedded Systems. Springer, 2021, 1 -- 27 more… BibTeX
  • Mueller-Gritschneder, Daniel; Cheng, Eric; Sharif, Uzair; Kleeberger, Veit B.; Bose, Pradip; Mitra, Subhasish; Schlichtmann, Ulf: Cross-Layer Resilience Against Soft Errors: Key Insights. In: Dependable Embedded Systems. Springer, 2021, 249 -- 275 more… BibTeX
  • Tseng, Tsun-Ming; Li, Mengchu; Zheng, Zhidan; Truppel, Alexandre; Schlichtmann, Ulf: Efficiency-Oriented Design Automation Methods for Wavelength-Routed Optical Network-on-Chip. In: Silicon Photonics for High-Performance Computing and Beyond. CRC Press, 2021, 177 -- 187 more… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Portable All-in-One Automated Microfluidic System (PAMICON) with 3D-Printed Chip Using Novel Fluid Control Mechanism. Nature Portfolio Scientific Reports 11, 2021 more… BibTeXWWW
  • Sharif, Uzair; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: REPAIR: Control Flow Protection based on Register Pairing Updates for SW-Implemented HW Fault Tolerance. ACM Transactions on Embedded Computing Systems (TECS) 20 (70), 2021, 1-22 more… BibTeX
  • Bellarmino, Nicolò; Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Martone, Raffaele; Schlichtmann, Ulf; Squillero, Giovanni: Exploiting Active Learning for Microcontroller Performance Prediction. IEEE European Test Symposium ETS, 2021 more… BibTeX
  • Chuang, Yu-Kai; Zhong, Yong; Cheng, Yi-Hao; Yu, Bo-Yi; Fang, Shao-Yun; Li, Bing; Schlichtmann, Ulf: RobustONoC: Fault-Tolerant Optical Networks-on-Chip with Path Backup and Signal Reflection. IEEE International Symposium on Quality Electronic Design (ISQED), 2021 more… BibTeX
  • Gao, Di; Huang, Qingrong; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Bayesian Inference Based Robust Computing on Memristor Crossbar. ACM/IEEE Design Automation Conference (DAC), 2021 more… BibTeX
  • Gao, Di; Zhang, Li; Yin, Xunzhao; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng: Reliable Memristor-based Neuromorphic Design Using Variation- and Defect-Aware Training. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX
  • Huang, Xing; Pan, Youlin; Chen, Zhen; Guo, Wenzhong; Wille, Robert; Ho, Tsung-Yi; Schlichtmann, Ulf: BigIntegr: One-Pass Architectural Synthesis for Continuous-Flow Microfluidic Lab-on-a-Chip Systems. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX
  • Kilian, Tobias; Ahrens, Heiko; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: A Scalable Design Flow for Performance Monitors Using Functional Path Ring Oscillators. IEEE International Test Conference (ITC), 2021 more… BibTeX
  • Kilian, Tobias; Ahrens, Heiko; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: Automatic and Scalable Implementation Flow of Performance Monitors for Automotive MCU Using Functional Path Ring Oscillators. Automotive Reliability and Test in Europe (ARTe) Workshop, 2021 more… BibTeX
  • Last, Felix; Schlichtmann, Ulf: Feeding Hungry Models Less: Deep Transfer Learning for Embedded Memory PPA Models. MLCAD '21 - Proceedings of the 2021 ACM/IEEE Workshop on Machine Learning for CAD, 2021 more… BibTeX
  • Qian, Yu; Fan, Zhenhao; Wang, Haoran; Li, Chao; Ni, Kai; Imani, Mohsen; Zhang, Li; Li, Bing; Schlichtmann, Ulf; Zhuo, Cheng; Yin, Xunzhao: Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Saeed, Ahsan; Mueller-Gritschneder, Daniel; Rehm, Falk; Hamann, Arne; Ziegenbein, Dirk; Schlichtmann, Ulf; Gerstlauer, Andreas: Learning based Memory Interference Prediction for Co-running Applications on Multi-Cores. MLCAD '21 - Proceedings of the 2021 ACM/IEEE Workshop on Machine Learning for CAD, 2021 more… BibTeX
  • Zhang, Jingyao; Gu, Huaxi; Zhang, Li; Li, Bing; Schlichtmann, Ulf: Hardware-Software Codesign of Weight Reshaping and Systolic Array Multiplexing for Efficient CNNs. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Zhang, Shuhang; Li, Hai (Helen); Schlichtmann, Ulf: Connection-based Processing-In-Memory Engine Design Based on Resistive Crossbars. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 more… BibTeX
  • Zhang, Shuhang; Li, Hai (Helen); Schlichtmann, Ulf: Peripheral Circuitry Assisted Mapping Framework for Resistive Logic-In-Memory Computing. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX
  • Zhang, Yushen; Tseng, Tsun-Ming; Schlichtmann, Ulf: Ein tragbares, automatisiertes All-in-One-Mikrofluidiksystem mit 3D-gedrucktem Chip und neuartigem Fluidsteuerungsmechanismus. VDE/VDI-GMM MikroSystemTechnik Kongress, 2021 more… BibTeX
  • Zhang, Li; Li, Bing; Huang, Xing; Shen, Chen; Zhang, Shuhang; Burcea, Florin; Graeb, Helmut; Ho, Tsung-Yi; Li, Hai (Helen); Schlichtmann, Ulf: An Efficient Programming Framework for Memristor-based Neuromorphic Computing. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX
  • Zhang, Li; Li, Bing; Zhu, Ying; Wang, Tianchen; Shi, Yiyu; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaxi; Ho, Tsung-Yi; Schlichtmann, Ulf: Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 more… BibTeX
  • Kilian, Tobias; Ahrens, Heiko; Tille, Daniel; Huch, Martin; Schlichtmann, Ulf: Scalable Implementation of Functional Path Ring Oscillator for MCU Performance Screening. 33. GI/GMM/ITG Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 2021 more… BibTeX
  • Tseng, Tsun-Ming; Lian, Meng; Li, Mengchu; Rinklin, Philipp; Grob, Leroy; Wolfrum, Bernhard; Schlichtmann, Ulf: Manufacturing Cycle-Time Optimization Using Gaussian Drying Model for Inkjet-Printed Electronics. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX Full text (mediaTUM)
  • Xiao, Moyuan; Tseng, Tsun-Ming; Schlichtmann, Ulf: FAST: A Fast Automatic Sweeping Topology Customization Method for Application-Specific Wavelength-Routed Optical NoCs. Design, Automation and Test in Europe (DATE), 2021 more… BibTeX Full text (mediaTUM)
  • Zuo, Fangda; Li, Mengchu; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Relative-Scheduling-Based High-Level Synthesis for Flow-Based Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX Full text (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: ToPro: A Topology Projector and Waveguide Router for Wavelength-Routed Optical Networks-on-Chip. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 more… BibTeX Full text (mediaTUM)
  • Zheng, Zhidan; Li, Mengchu; Tseng, Tsun-Ming; Schlichtmann, Ulf: Light: A Scalable and Efficient Wavelength-Routed Optical Networks-On-Chip Topology. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 more… BibTeX Full text (mediaTUM)
  • Moradi, Yasamin; Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Droplet Barcoding in Single-Cell Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (2), 2020, 359 -- 372 more… BibTeX Full text ( DOI )
  • Wang, Qin; Ji, Weiqing; Li, Zeyan; Cheong, Haena; Kwon, Oh‐Sun; Yao, Hailong; Ho, Tsung‐Yi; Shin, Kwanwoo; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Integrated Control‐Fluidic CoDesign Methodology for Paper‐Based Digital Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (3), 2020 more… BibTeX Full text ( DOI )
  • Zhu, Ying; Huang, Xing; Li, Bing; Ho, Tsung-Yi; Wang, Qin; Yao, Hailong; Wille, Robert; Schlichtmann, Ulf: MultiControl: Advanced Control Logic Synthesis for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (10), 2020 more… BibTeX Full text ( DOI )
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test Generation for Flow-Based Microfluidic Biochips with General Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (10), 2020 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Li, Meng; Yu, Bei; Pan, David Z.; Brunner, Michaela; Sigl, Georg; Schlichtmann, Ulf: TimingCamouflage+: Netlist Security Enhancement with Unconventional Timing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39 (12), 2020, 4482-4495 more… BibTeX Full text ( DOI )
  • Mettler, Marcel; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Runtime Monitoring of Inter- and Intra-Thread Requirements on Embedded MPSoCs. 2020 33rd International Conference on VLSI Design and 2020 19th International Conference on Embedded Systems (VLSID), 2020 more… BibTeX Full text ( DOI )
  • Baehr, Johanna; Bernardini, Alessandro; Sigl, Georg; Schlichtmann, Ulf: Machine learning and structural characteristics for reverse engineering. Integration, the VLSI Journal 72, 2020, 1-12 more… BibTeX Full text ( DOI )
  • Hu, Yong; Mettler, Marcel; Mueller-Gritschneder, Daniel; Wild, Thomas; Herkersdorf, Andreas; Schlichtmann, Ulf: Machine Learning Approaches for Efficient Design Space Exploration of Application-specific NoCs. ACM Transactions on Design Automation of Electronic Systems (TODAES) 25 (5), 2020 more… BibTeX Full text ( DOI )
  • Mettler, Marcel; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: A Distributed Hardware Monitoring System for Runtime Verification on Multi-tile MPSoCs. Accepted for Publication in ACM Transactions on Architecture and Code Optimization, 2020 more… BibTeX Full text ( DOI )
  • Last, Felix; Haeberlein, Max; Schlichtmann, Ulf: Predicting Memory Compiler Performance Outputs using Feed-Forward Neural Networks. ACM Transactions on Design Automation of Electronic Systems (TODAES), 2020 more… BibTeX Full text ( DOI )
  • Cantoro, Riccardo; Huch, Martin; Kilian, Tobias; Martone, Raffaele; Schlichtmann, Ulf; Squillero, Giovanni: Machine Learning based Performance Prediction of Microcontrollers using Speed Monitor. IEEE International Test Conference (ITC), 2020 more… BibTeX
  • Choudhary, Gautam; Pal, Sandeep; Kundu, Debraj; Bhattacharjee, Sukanta; Yamashita, Shigeru; Li, Bing; Schlichtmann, Ulf; Roy, Sudip: Transport-Free Module Binding for Sample Preparation using Microfluidic Fully Programmable Valve Arrays. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Huang, Xing; Pan, Youlin; Zhang, Li; Li, Bing; Guo, Wenzhong; Ho, Tsung-Yi; Schlichtmann, Ulf: PathDriver: A Path-Driven Architectural Synthesis Flow for Continuous-Flow Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX
  • Last, Felix; Schlichtmann, Ulf: Partial Sharing Neural Networks for Multi-Target Regression on Power and Performance of Embedded Memories. MLCAD '20 - Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020 more… BibTeX
  • Lin, Ing-Chao; Schlichtmann, Ulf; Huang, Tsung-Wei; Lin, Mark Po-Hung: Overview of 2020 CAD Contest at ICCAD. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX
  • Sharif, Uzair; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Investigating the Inherent Soft Error Resilience of Embedded Applications by Full-System Simulation. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020 more… BibTeX
  • Zhang, Li; Brunner, Michaela; Li, Bing; Sigl, Georg; Schlichtmann, Ulf: Timing Resilience for Efficient and Secure Circuits. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020 more… BibTeX
  • Zhang, Shuhang; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: A Pulse-width Modulation Neuron with Continuous Activation for Processing-In-Memory Engines. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Zhang, Li; Li, Bing; Zhu, Ying; Zhang, Shuhang; Wang, Tianchen; Shi, Yiyu; Ho, Tsung-Yi; Li, Hai (Helen); Schlichtmann, Ulf: Reliable and Robust RRAM-based Neuromorphic computing. ACM Great Lakes Symposium on VLSI (GLSVLSI), 2020 more… BibTeX
  • Zhang, Shuhang; Zhang, Li; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: Lifetime Enhancement for RRAM-Based Computing-In-Memory Engine Considering Aging and Thermal Effects. IEEE International Conference on Artificial Intelligence Circuits and Systems, 2020 more… BibTeX
  • Zhu, Ying; Zhang, Li; Li, Bing; Yin, Xunzhao; Zhuo, Cheng; Gu, Huaixi; Ho, Tsung-Yi; Schlichtmann, Ulf: Countering Variations and Thermal Effects for Accurate Optical Neural Networks. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX
  • Zhu, Ying; Zhang, Li; Wan, Tianchen; Li, Bing; Shi, Yiyu; Ho, Tsung-Yi; Schlichtmann, Ulf: Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noise. Design, Automation and Test in Europe (DATE), 2020 more… BibTeX
  • Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Alves, José Carlos; Schlichtmann, Ulf: PSION+: Combining logical topology and physical layout optimization for Wavelength-Routed ONoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Tala, Mahdi; Schlichtmann, Ulf: Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-on-Chips. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2020 more… BibTeX Full text (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Schlichtmann, Ulf: PSION 2: Optimizing Physical Layout of Wavelength-Routed ONoCs for Laser Power Reduction. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 more… BibTeX Full text (mediaTUM)
  • Rinklin, Philipp; Tseng, Tsun-Ming; Liu, Cai; Li, Mengchu; Terkan, Korkut; Grob, Leroy; Adly, Nouran; Zips, Sabine; Weiß, Lennart; Schlichtmann, Ulf; Wolfrum, Bernhard: Electronic Design Automation for Increased Robustness in Inkjet-Printed Electronics. Flexible and Printed Electronics, 2019 more… BibTeXWWW
  • Stahl, Rafael; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Driver Generation for IoT Nodes with Optimization of the Hardware/Software Interface. Embedded Systems Letters (ESL), 2019 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Shi, Yiyu; Hu, Jiang; Schlichtmann, Ulf: EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019 more… BibTeX Full text ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Synthesis of a Cyberphysical Hybrid Microfluidic Platform for Single-Cell Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38 (7), 2019, 1237 -- 1250 more… BibTeX Full text ( DOI )
  • Ibrahim, Mohamed; Sridhar, Aditya; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Synthesis of Reconfigurable Flow-Based Biochips for Scalable Single-Cell Screening. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019 more… BibTeX Full text ( DOI )
  • Moradi, Yasamin; Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Droplet Barcoding in Single-Cell Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019 more… BibTeX Full text ( DOI )
  • Baehr, Johanna; Bernardini, Alessandro; Sigl, Georg; Schlichtmann, Ulf: Machine Learning and Structural Characteristics for Reverse Engineering. Asia and South Pacific Design Automation Conference, 2019 more… BibTeX
  • Bernardini, Alessandro; Liu, Chunfeng; Li, Bing; Schlichtmann, Ulf: Fault Localization in Programmable Microfluidic Devices. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Chen, Zhisheng; Huang, Xing; Guo, Wenzhong; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Physical Synthesis of Flow-Based Microfluidic Biochips Considering Distributed Channel Storage. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Fritzmann, Tim; Sharif, Uzair; Mueller-Gritschneder, Daniel; Reinbrecht, Cezar; Schlichtmann, Ulf; Sepulveda, Johanna: Towards Reliable and Secure Post-Quantum Co-Processors based on RISC-V. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Huang, Xing; Ho, Tsung-Yi; Guo, Wenzhong; Li, Bing; Schlichtmann, Ulf: MiniControl: Synthesis of Continuous-flow Microfluidics with Strictly Constrained Control Ports. ACM/IEEE Design Automation Conference (DAC), 2019 more… BibTeX
  • Kleeberger, Petra R.; Rivera, Juana; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: SeRoHAL: Generation of Selectively Robust Hardware Abstraction Layers for Efficient Protection of Mixed-criticality Systems. Asia and South Pacific Design Automation Conference (ASP-DAC), 2019 more… BibTeX
  • Lin, Yu-Huei; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf: Block-Flushing: A Block-based Washing Algorithm for Programmable Microfluidic Devices. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Listl, Alexandra; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: SRAM Design Exploration with Integrated Application-Aware Aging Analysis. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Listl, Alexandra; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: MAGIC: A Wear-leveling Circuitry to Mitigate Aging Effects in Sense Amplifiers of SRAMs. 2019 IEEE 17th International New Circuits and Systems Conference (NEWCAS), 2019 more… BibTeX
  • Liu, Xu; Zhou, Xing; Bernardini, Alessandro; Schlichtmann, Ulf: A Compact Model of Negative Bias Temperature Instability Suitable for Gate-Level Circuit Simulation. IEEE International Symposium on Quality Electronic Design (ISQED), 2019 more… BibTeX
  • Schlichtmann, Ulf; Das, Sabya; Lin, Ing-Chao; Lin, Mark Po-Hung: Overview of 2019 CAD Contest at ICCAD. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 more… BibTeX
  • Schwarz, Michael; Stahl, Rafael; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf; Stoffel, Dominik; Kunz, Wolfgang: ACCESS: HW/SW Co-Equivalence Checking for Firmware Optimization. ACM/IEEE Design Automation Conference (DAC), 2019 more… BibTeX
  • Stahl, Rafael; Zhao, Zhuoran; Mueller-Gritschneder, Daniel; Gerstlauer, Andreas; Schlichtmann, Ulf: Fully Distributed Deep Learning Inference on Resource-Constrained Edge Devices. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2019 more… BibTeX
  • Zhang, Shuhang; Zhang, Li; Li, Bing; Li, Hai (Helen); Schlichtmann, Ulf: Aging-aware Lifetime Enhancement for Memristor-based Neuromorphic Computing. Design, Automation and Test in Europe (DATE), 2019 more… BibTeX
  • Li, Mengchu; Tseng, Tsun-Ming; Ma, Yanlu; Ho, Tsung-Yi; Schlichtmann, Ulf: VOM: Flow-Path Validation and Control-Sequence Optimization for Multilayered Continuous-Flow Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Zhang, Yushen; Ho, Tsung-Yi; Schlichtmann, Ulf: Cloud Columba: Accessible Design Automation Platform for Production and Inspiration. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Truppel, Alexandre; Li, Mengchu; Nikdast, Mahdi; Schlichtmann, Ulf: Wavelength-Routed Optical NoCs: Design and EDA — State of the Art and Future Directions. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 more… BibTeX Full text (mediaTUM)
  • Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Alves, José Carlos; Schlichtmann, Ulf: PSION: Combining logical topology and physical layout optimization for Wavelength-Routed ONoCs. ACM/SIGDA International Symposium on Physical Design (ISPD), 2019 more… BibTeX Full text (mediaTUM)
  • Bernardini, Alessandro; Liu, Chunfeng; Li, Bing; Schlichtmann, Ulf: Efficient spanning-tree-based test pattern generation for Programmable Microfluidic Devices. Microelectronics Journal, 2018 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Liu, Jinglan; Shi, Yiyu; Schlichtmann, Ulf: Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (2), 2018, 392--405 more… BibTeX Full text ( DOI )
  • Zhang, Li; Li, Bing; Shi, Yiyu; Hu, Jiang; Schlichtmann, Ulf: EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018 more… BibTeX Full text ( DOI )
  • Martev, Dimo; Hampel, Sven; Schlichtmann, Ulf: Automated Phase Noise-Aware Design of RF Clock Distribution Circuits. IEEE Transactions on Very Large Scale Integration Systems (TVLSI) 26 (11), 2018, 2395 -- 2405 more… BibTeX Full text ( DOI )
  • Li, Bing; Hashimoto, Masanori; Schlichtmann, Ulf: From Process Variations to Reliability: A Survey of Timing of Digital Circuits in the Nanometer Era. IPSJ Transactions on System LSI Design Methodology 11, 2018, 2-15 more… BibTeX Full text ( DOI )
  • Jassi, Munish; Hu, Yong; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Graph-Grammar-Based IP-Integration (GRIP) - An EDA Tool for Software-Defined SoCs. ACM Transactions on Design Automation of Electronic Systems (TODAES) 23 (3), 2018, 40:1--40:26 more… BibTeX Full text ( DOI )
  • Chuang, Yu-Kai; Chen, Kuan-Jung; Lin, Kun-Lin; Fang, Shao-Yun; Li, Bing; Schlichtmann, Ulf: PlanarONoC: Concurrent Placement and Routing Considering Crossing Minimization for Optical Networks-on-Chip. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018 more… BibTeX
  • Hu, Yong; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Wavefront-MCTS: Multi-objective Design Space Exploration of NoC Architectures based on Monte Carlo Tree Search. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 more… BibTeX
  • Jiao, Fengxian; Dong, Sheqin; Yu, Bei; Li, Bing; Schlichtmann, Ulf: Thermal-Aware Placement and Routing for 3D Optical Networks-on-Chips. IEEE International Symposium on Circuits and Systems (ISCAS), 2018 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test Generation for Microfluidic Fully Programmable Valve Arrays (FPVAs) with Heuristic Acceleration. International Conference on IC Design and Technology (Invited Paper), 2018 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Ho, Tsung-Yi; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Design-for-Testability for Continuous-Flow Microfluidic Biochips. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Listl, Alexandra; Mueller-Gritschneder, Daniel; Kluge, Fabian; Schlichtmann, Ulf: Emulation of an ASIC Power, Temperature and Aging Monitor System for FPGA Prototyping. IEEE International On-Line Testing Symposium (IOLTS), 2018 more… BibTeX
  • Mueller-Gritschneder, Daniel; Dittrich, Martin; Weinzierl, Josef; Cheng, Eric; Mitra, Subhasish; Schlichtmann, Ulf: {ETISS-ML}: A Multi-Level Instruction Set Simulator with {RTL}-level Fault Injection Support for the Evaluation of Cross-Layer Resiliency Techniques. Design, Automation and Test in Europe (DATE), 2018 more… BibTeX
  • Moradi, Yasamin; Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis. Design, Automation and Test in Europe (DATE), 2018 more… BibTeX
  • Moradi, Yasamin; Chakrabarty, Krishnendu; Schlichtmann, Ulf: An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Single-Cell Analysis. IEEE European Test Symposium, 2018 more… BibTeX
  • Maier, Petra R.; Sharif, Uzair; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Efficient Fault Injection for Embedded Systems: As Fast as Possible but as Accurate as Necessary. IEEE International On-Line Testing Symposium (IOLTS), 2018 more… BibTeX
  • Mueller-Gritschneder, Daniel; Sharif, Uzair; Schlichtmann, Ulf: Performance and Accuracy in Soft-Error Resilience Evaluation using the Multi-Level Processor Simulator ETISS-ML. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 more… BibTeX
  • Stahl, Rafael; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Automated Redirection of Hardware Accesses for Host-Compiled Software Simulation. Forum on Specification and Design Languages (FDL), 2018 more… BibTeX
  • Tille, Daniel; Gottinger, Benedikt; Pfannkuchen, Ulrike; Graeb, Helmut; Schlichtmann, Ulf: On Enabling Diagnosis for 1-Pin Test Fails in an Industrial Flow. Asia and South Pacific Design Automation Conference (ASP-DAC), 2018 more… BibTeX
  • Wille, Robert; Li, Bing; Drechsler, Rolf; Schlichtmann, Ulf: Automatic Design of Microfluidic Devices - An Overview of Platforms and Corresponding Design Tasks. Forum on Specification and Design Languages (FDL), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Hashimoto, Masanori; Schlichtmann, Ulf: VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX
  • Zhu, Ying; Li, Bing; Ho, Tsung-Yi; Wang, Qin; Yao, Hailong; Wille, Robert; Schlichtmann, Ulf: Multi-Channel and Fault-Tolerant Control Multiplexing for Flow-Based Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 more… BibTeX
  • Zhang, Li; Li, Bing; Yu, Bei; Pan, David Z.; Schlichtmann, Ulf: TimingCamouflage: Improving Circuit Security against Counterfeiting by Unconventional Timing. Design, Automation and Test in Europe (DATE), 2018 more… BibTeX
  • Tseng, Tsun-Ming; Li, Mengchu; Freitas, Daniel Nestor; McAuley, Travis; Li, Bing; Ho, Tsung-Yi; Araci, Ismail Emre; Schlichtmann, Ulf: Columba 2.0: A Co-Layout Synthesis Tool for Continuous-Flow Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37 (8), 2018, 1588-1601 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Bertozzi, Davide; Tala, Mahdi; Schlichtmann, Ulf: CustomTopo: A Topology Generation Method for Application-Specific Wavelength-Routed Optical NoCs. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Freitas, Daniel Nestor; Mongersun, Amy; Araci, Ismail Emre; Ho, Tsung-Yi; Schlichtmann, Ulf: Columba S: A Scalable Co-Layout Design Automation Tool for Microfluidic Large-Scale Integration. ACM/IEEE Design Automation Conference (DAC), 2018 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: An Efficient Two-Phase ILP-Based Algorithm for Precise CMOS RFIC Layout Generation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (8), 2017, 1313-1326 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Component-Oriented High-Level Synthesis for Continuous-Flow Microfluidics Considering Hybrid-Scheduling. ACM/IEEE Design Automation Conference (DAC), 2017 more… BibTeX Full text (mediaTUM)
  • Glocker, Elisabeth; Chen, Qingqing; Schlichtmann, Ulf; Landsiedel, Doris Schmitt: Emulation of an {ASIC} Power and Temperature Monitoring System (eTPMon) for {FPGA} Prototyping. Microprocessors and Microsystems 50, 2017, 90--101 more… BibTeX Full text ( DOI )
  • Wang, Qin; Xu, Yue; Zuo, Shiliang; Yao, Hailong; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Pressure-Aware Control Layer Optimization for Flow-Based Microfluidic Biochips. IEEE Transactions on Biomedical Circuits and Systems (TBioCAS) 11 (6), 2017, 1488-1499 more… BibTeX Full text ( DOI )
  • Hu, Yong; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: A Model-based Framework For Networks-on-Chip Design Space Exploration. 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems (AISTECS), 2017 more… BibTeX Full text ( DOI )
  • Maier, Petra R.; Kleeberger, Veit B.; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Fault Injection for Test-Driven Development of Robust SoC Firmware (PDF). ACM Transactions on Embedded Computing Systems (TECS) 17, 2017 more… BibTeX Full text ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: CoSyn: Efficient single-cell analysis using a hybrid microfluidic platform. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 more… BibTeX
  • Ibrahim, Mohamed; Sridhar, Aditya; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Sortex: Efficient Timing-Driven Synthesis of Reconfigurable Flow-Based Biochips for Scalable Single-Cell Screening. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017 more… BibTeX
  • Karapetyan, S.; Schlichtmann, U.: 20nm FinFET-based SRAM cell: Impact of variability and design choices on performance characteristics. Int. Conf. Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2017 more… BibTeX
  • Liu, Jinglan; Ding, Yukun; Yang, Jianlei; Schlichtmann, Ulf; Shi, Yiyu: Generative Adversarial Network Based Scalable On-chip Noise Sensor Placement. IEEE International System on Chip Conference (SOCC), 2017 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Reliability-aware Synthesis and Fault Test of Fully Programmable Valve Arrays (FPVAs). IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, (Invited Paper), 2017(Invited Paper) more… BibTeX
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Testing Microfluidic Fully Programmable Valve Arrays (FPVAs) (pdf). Design, Automation and Test in Europe (DATE), 2017 more… BibTeX
  • Liu, Chunfeng; Li, Bing; Yao, Hailong; Pop, Paul; Ho, Tsung-Yi; Schlichtmann, Ulf: Transport or Store? Synthesizing Flow-based Microfluidic Biochips using Distributed Channel Storage. ACM/IEEE Design Automation Conference (DAC), 2017 more… BibTeX
  • Martev, Dimo; Hampel, Sven; Schlichtmann, Ulf: Methodology for automated phase noise minimization in RF circuit interconnect trees. IEEE International Symposium on Circuits and Systems (ISCAS), 2017 more… BibTeX
  • Martev, Dimo; Hampel, Sven; Schlichtmann, Ulf: A Method for Phase Noise Analysis of RF Circuits. Great Lakes Symposium on VLSI (GLVLSI), 2017 more… BibTeX
  • Mueller-Gritschneder, Daniel; Dittrich, Martin; Greim, Marc; Devarajegowda, Keerthikumara; Ecker, Wolfgang; Schlichtmann, Ulf: The Extendable Translating Instruction Set Simulator (ETISS) interlinked with an MDA Framework for fast RISC Prototyping. IEEE International Symposium on Rapid System Prototyping (RSP), 2017 more… BibTeX
  • Schlichtmann, Ulf: Frontiers of timing. ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2017 more… BibTeX
  • Wang, Qin; Zuo, Shiliang; Yao, Hailong; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Hamming-Distance-Based Valve-Switching Optimization for Control Multiplexing in Flow-Based Microfluidic Biochip (pdf). IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2017 more… BibTeX
  • Yigit, Baris; Zhang, Li; Li, Bing; Shi, Yiyu; Schlichtmann, Ulf: Application of Machine Learning Methods in Post-Silicon Yield Improvement. IEEE International System on Chip Conference (SOCC), 2017 more… BibTeX
  • Bernardini, Alessandro; Ecker, Wolfgang; Schlichtmann, Ulf: Efficient handling of the fault space in functional safety analysis utilizing formal methods. 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2016 more… BibTeX
  • Beuningen, Anja von; Schlichtmann, Ulf: PLATON: A Force-Directed Placement Algorithm for 3D Optical Networks-on-Chip. ACM/SIGDA International Symposium on Physical Design (ISPD), 2016 more… BibTeX
  • Jassi, Munish; Hu, Yong; Lyu, Jian; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: GRIP: Graph-Rewriting-Based IP-Integration - An EDA Tool for Software Defined SoC Design. Design, Automation and Test in Europe (DATE) University Booth, 2016 more… BibTeX
  • Jassi, Munish; Sharif, Uzair; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Hardware-Accelerated Software Libraries Drivers Generation for IP-Centric SoC Designs. Great Lakes Symposium on VLSI (GLS-VLSI), 2016 more… BibTeX
  • Maier, Petra R.; Kleeberger, Veit B.; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Fault Injection at Host-Compiled Level with Static Fault Set Reduction for SoC Firmware Robustness Testing. International conference on Hardware/Software codesign and system synthesis (CODES+ISSS), 2016 more… BibTeX
  • Maier, Petra R.; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf; Kleeberger, Veit B.: Embedded Software Reliability Testing by Unit-Level Fault Injection. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2016 more… BibTeX
  • Mueller-Gritschneder, Daniel; Greim, Marc; Schlichtmann, Ulf: Safety Evaluation based on Virtual Prototypes: Fault Injection with Multi-level Processor Models. International Symposium on Integrated Circuits (ISIC), 2016 more… BibTeX
  • Tille, Daniel; Stanley, Daniel Thangaraj; Pfannkuchen, Ulrike; Graeb, Helmut; Schlichtmann, Ulf: On Improving Test Point Insertion using Fault Classification Results. ITG/GMM/GI Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, 2016 more… BibTeX
  • Wang, Qin; Li, Zeyan; Cheong, Haena; Kwon, Oh-Sun; Yao, Hailong; Ho, Tsung-Yi; Shin, Kwanwoo; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Control-Fluidic CoDesign for Paper-Based Digital Microfluidic Biochips. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Wille, Robert; Li, Bing; Schlichtmann, Ulf; Drechsler, Rolf: From Biochips to Quantum Circuits: Computer-Aided Design for Emerging Technologies. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: Sampling-based Buffer Insertion for Post-Silicon Yield Improvement under Process Variability. Design, Automation and Test in Europe (DATE), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: EffiTest: Efficient Delay Test and Statistical Prediction for Configuring Post-silicon Tunable Buffers (Best paper award nomination). ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX
  • Zhang, Li; Li, Bing; Schlichtmann, Ulf: PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Zhang, Li; Listl, Alexandra; Li, Bing; Schlichtmann, Ulf: Effizienter Verzögerungstest zur Optimierung der Taktfrequenz einer Schaltung durch nach der Fertigung konfigurierbare Puffer. edaWorkshop, 2016 more… BibTeX
  • Bernardini, Alessandro; Ecker, Wolfgang; Schlichtmann, Ulf: Where Formal Verification Can Help in Functional Safety Analysis. Proceedings of the 35th International Conference on Computer-Aided Design (ICCAD), 2016 more… BibTeX
  • Martev, Dimo; Hampel, Sven; Schlichtmann, Ulf: Fully synthesized time-to-digital converter for cellular transceivers. Second International Conference on Event-based Control, Communication, and Signal Processing (EBCCSP), 2016 more… BibTeX
  • Martev, Dimo; Hampel, Sven; Schlichtmann, Ulf: Synthesis-based methodology for high-speed multi-modulus divider. 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD, 2016 more… BibTeX
  • Maier, Petra R.; Kleeberger, Veit B.; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Fehlerinjektion auf Unit-Ebene zur Robustheitsverifikation eingebetteter Software. edaWorkshop, 2016 more… BibTeX
  • Schlichtmann, Ulf; Hashimoto, Masanori; Jiang, Iris Hui-Ru; Li, Bing: Reliability, Adaptability and Flexibility in Timing: Buy a Life Insurance for Your Circuits. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2016 more… BibTeX
  • Schlichtmann, Ulf: The next frontier in IC design: Determining (and optimizing) robustness and resilience of integrated circuits and systems. 2016 China Semiconductor Technology International Conference (CSTIC), 2016, 1-4 more… BibTeX Full text ( DOI )
  • Wu, Jie; Schlichtmann, Ulf; Shi, Yiyu: On the measurement of power grid robustness under load uncertainties. 2016 IEEE International Conference on Smart Grid Communications (SmartGridComm), 2016, 218-223 more… BibTeX Full text ( DOI )
  • Lange, André; Sohrmann, Christoph; Jancke, Roland; Haase, Joachim; Cheng, Binjie; Asenov, Asen; Schlichtmann, Ulf: Multivariate Modeling of Variability Supporting Non-Gaussian and Correlated Parameters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (2), 2016 more… BibTeX Full text ( DOI )
  • Pagani, Santiago; Bauer, Lars; Chen, Qingqing; Glocker, Elisabeth; Hannig, Frank; Herkersdorf, Andreas; Khdr, Heba; Pathania, Anuj; Schlichtmann, Ulf; Schmitt-Landsiedel, Doris; Sagi, Mark; Sousa, Ericles; Wagner, Philipp; Wenzel, Volker; Wild, Thomas; Henkel, Jörg: Dark silicon management: an integrated and coordinated cross-layer approach. it - Information Technology 58 (6), 2016 more… BibTeX Full text ( DOI )
  • Karapetyan, Shushanik; Kleeberger, Veit B.; Schlichtmann, Ulf: FinFET Based Product Performance: Modeling and Evaluation of Standard Cells in FinFET Technologies. Microelectronics Reliability 61, 2016, 30-34 more… BibTeX Full text ( DOI )
  • Tseng, Tsun-Ming; Li, Bing; Yeh, Ching-Feng; Jhan, Hsiang-Chieh; Tsai, Zuo-Min; Lin, Mark Po-Hung; Schlichtmann, Ulf: Novel CMOS RFIC Layout Generation with Concurrent Device Placement and Fixed-Length Microstrip Routing. ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX Full text (mediaTUM)
  • Li, Mengchu; Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Sieve-valve-aware Synthesis of Flow-based Microfluidic Biochips Considering Specific Biological Execution Limitations. Design, Automation and Test in Europe (DATE), 2016 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Mengchu; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Columba: Co-Layout Synthesis for Continuous-Flow Microfluidic Biochips. ACM/IEEE Design Automation Conference (DAC), 2016 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Li, Mengchu; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis with Dynamic Device Mapping and Fluid Routing for Flow-based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (12), 2016, 1981-1994 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Beuningen, Anja von; Schlichtmann, Ulf: A Force-Directed Placement Algorithm for 3D Optical Networks-on-Chip. International Workshop on Optical/Photonic Interconnects for Computing Systems (OPTICS), 2015 more… BibTeX
  • Chen, Qingqing; Rührmair, Ulrich; Narayana, Spoorthy; Sharif, Uzair; Schlichtmann, Ulf: MWA Skew SRAM Based SIMPL Systems for Public-Key Physical Cryptography. Proceedings of the 8th International Conference on Trust & Trustworthy Computing (TRUST 2015), 2015 more… BibTeX
  • Glocker, Elisabeth; Chen, Qingqing; Zaidi, Asheque; Schlichtmann, Ulf; Schmitt-Landsiedel, Doris: Emulation of an ASIC Power and Temperature Monitor System for FPGA Prototyping. Proceedings of the 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2015), 2015 more… BibTeX
  • Greim, Marc; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: C++ Processor Models for Accelerated Multi-level Error Effect Simulation. edaWorkshop, 2015 more… BibTeX
  • Jassi, Munish; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: GRIP: Grammar-Based IP Integration and Packaging for Acceleration-Rich SoC Designs. ACM/IEEE Design Automation Conference (DAC), 2015 more… BibTeX
  • Jassi, Munish; Bordes, Benjamin; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Automation of FPGA Performance Monitoring and Debugging Using IP-XACT and Graph-Grammars. International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2015 more… BibTeX
  • Kumar, Rohit; Li, Bing; Shen, Yiren; Schlichtmann, Ulf; Hu, Jiang: Timing Verification for Adaptive Integrated Circuits. Design, Automation and Test in Europe (DATE), 2015 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Evaluation of circuit performance and configuration reduction considering post-silicon clock skew tuning. edaWorkshop, 2015 more… BibTeX
  • Sousa, Ericles; Hannig, Frank; Teich, Jürgen; Chen, Qingqing; Schlichtmann, Ulf: Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays. Proceedings of the 18th International Workshop on Software and Compilers for Embedded Systems (SCOPES 2015), 2015 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Statistical Timing Analysis and Criticality Computation for Circuits With Post-Silicon Clock Tuning Elements. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015 more… BibTeX Full text ( DOI )
  • Li, Bing; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf: Design Automation for Microfluidic Biochips Considering Efficiency and Reliability. MikroSystemTechnik Kongress, 2015 more… BibTeX
  • Schlichtmann, Ulf: Beyond GORDIAN and Kraftwerk: EDA Research at TUM. International Symposium on Physical Design (ISPD’15), 2015 more… BibTeX
  • Barke, Martin; Schlichtmann, Ulf: A Cross-Layer Approach to Measure the Robustness of Integrated Circuits. ACM Journal on Emerging Technologies in Computing Systems (JETC) - Special Issue on Cross-Layer System Design and Regular Papers 12 (3), 2015 more… BibTeX
  • Glaß, Michael; Aliee, Hananeh; Chen, Liang; Ebrahimi, Mojtaba; Khosravi, Faramarz; Kleeberger, Veit B.; Listl, Alexandra; Müller-Gritschneder, Daniel; Oboril, Fabian; Schlichtmann, Ulf; Tahoori, Mehdi B.; Teich, Jürgen; Wehn, Norbert; Weis, Christian: Application-aware cross-layer reliability analysis and optimization. it – Information Technology 2015 57, 2015, 159–169 more… BibTeX Full text ( DOI )
  • Beuningen, Anja von; Ramini, Luca; Bertozzi, Davide; Schlichtmann, Ulf: PROTON+: A Placement and Routing Tool for 3D Optical Networks-on-Chip with a Single Optical Layer. ACM Journal on Emerging Technologies in Computing Systems (JETC) 12 (4), 2015, 44:1--44:28 more… BibTeX Full text ( DOI )
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: ILP-based Alleviation of Dense Meander Segments with Prioritized Shifting and Progressive Fixing in PCB Routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Reliability-aware Synthesis for Flow-based Microfluidic Biochips by Dynamic-device Mapping. ACM/IEEE Design Automation Conference (DAC), 2015 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Schlichtmann, Ulf; Ho, Tsung-Yi: Storage and Caching: Synthesis of Flow-based Microfluidic Biochips. IEEE Design and Test, 2015 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Boos, Anja; Ramini, Luca; Bertozzi, Davide; Schlichtmann, Ulf: Ein Platzier- und Verdrahtungsalgorithmus für Optische Networks-on-Chip zur Minimierung der Einfügedämpfung. edaWorkshop, 2014 more… BibTeX
  • Goswami, Dip; Mueller-Gritschneder, Daniel; Twan, Basten; Schlichtmann, Ulf; Chakraborty, Samarjit: Fault-tolerant Embedded Control Systems for Unreliable Hardware. International Symposium on Integrated Circuits (ISIC), 2014 more… BibTeX
  • Kleeberger, Veit B.; Dorfner, Magdalena; Schlichtmann, Ulf: Evaluation of Sequential Circuit Resilience in Early Design Stages. edaWorkshop, 2014 more… BibTeX
  • Kleeberger, Veit B.; Maier, Petra R.; Schlichtmann, Ulf: Workload- and Instruction-Aware Timing Analysis - The missing Link between Technology and System-level Resilience. ACM/IEEE Design Automation Conference (DAC), 2014 more… BibTeX
  • Linder, Michael; Eder, Alfred; Oberländer, Klaus; Schlichtmann, Ulf: An Analysis of Industrial SRAM Test ResultsA Comprehensive Study on Effectiveness and Classification of March Test Algorithms. IEEE Design and Test, 2014 more… BibTeX
  • Mueller-Gritschneder, Daniel; Maier, Petra R.; Greim, Marc; Schlichtmann, Ulf: SystemC-based Multi-level Error Injection for the Evaluation of Fault-tolerant Systems. International Symposium on Integrated Circuits (ISIC), 2014 more… BibTeX
  • Miller, Felix; Todorov, Vladimir; Wild, Thomas; Mueller-Gritschneder, Daniel; Herkersdorf, Andreas; Schlichtmann, Ulf: A TSV-Property-aware Synthesis Method for Application-Specific 3D-NoCs Design. Design Automation and Test in Europe (DATE), Friday Workshop on 3D Integration, 2014 more… BibTeX
  • Schlichtmann, Ulf; Kleeberger, Veit B.; Abraham, Jacob A.; Evans, Adrian; Gimmler-Dumont, Christina; Glaß, Michael; Herkersdorf, Andreas; Nassif, Sani R.; Wehn, Norbert: Connecting Different Worlds Technology Abstraction for Reliability-Aware Design and Test. Design, Automation and Test in Europe (DATE), 2014 more… BibTeX
  • Glocker, Elisabeth; Chen, Qingqing; Zaidi, Asheque; Schlichtmann, Ulf; Schmitt-Landsiedel, Doris: Emulierung eines ASIC-Leistungsverbrauchs- und Temperaturmonitorsystems für FPGA-Prototyping eines ressourcengewahren Computersystems. 16. Workshop Analogschaltungen, 2014 more… BibTeX
  • Glocker, Elisabeth; Boppu, Srinivas; Chen, Qingqing; Schlichtmann, Ulf; Teich, Jürgen; Schmitt-Landsiedel, Doris: Temperature Modeling and Emulation of an ASIC Temperature Monitor System for Tightly-Coupled Processor Arrays (TCPAs). Advances in Radio Science 12, 2014, 103--109 more… BibTeX
  • Glocker, Elisabeth; Chen, Qingqing; Zaidi, Asheque M.; Schlichtmann, Ulf; Schmitt-Landsiedel, Doris: Emulated ASIC Power and Temperature Monitor System for FPGA Prototyping of an Invasive MPSoC Computing Architecture. Proceedings of the 1st Workshop on Resource Awareness and Adaptivity in Multi-Core Computing (Racing 2014), 2014 more… BibTeX
  • Barke, Martin; Kaergel, Michael; Olbrich, Markus; Schlichtmann, Ulf: Robustness Measurement of Integrated Circuits and its Adaptation to Aging Effects. Microelectronics Reliability 54 (6-7), 2014, 1058-1065 more… BibTeX
  • Herkersdorf, Andreas; Aliee, Hananeh; Engel, Michael; Glaß, Michael; Gimmler-Dumont, Christina; Henkel, Jörg; Kleeberger, Veit B.; Kochte, Michael A.; Kühn, Johannes M.; Mueller-Gritschneder, Daniel; Nassif, Sani R.; Rauchfuss, Holm; Rosenstiel, Wolfgang; Schlichtmann, Ulf; Shafique, Muhammad; Tahoori, Mehdi B.; Teich, Jürgen; Wehn, Norbert; Weis, Christian; Wunderlich, Hans-Joachim: Resilience Articulation Point (RAP): Cross-layer Dependability Modeling for Nanometer System-on-chip Resilience. Microelectronics Reliability 54 (6-7), 2014, 1066-1074 more… BibTeX
  • Kleeberger, Veit B.; Barke, Martin; Werner, Christoph; Schmitt-Landsiedel, Doris; Schlichtmann, Ulf: A Compact Model for NBTI Degradation and Recovery under Use-Profile Variations and its Application to Aging Analysis of Digital Integrated Circuits. Microelectronics Reliability 54 (6-7), 2014, 1083-1089 more… BibTeX
  • Lorenz, Dominik; Barke, Martin; Schlichtmann, Ulf: Monitoring of Aging in Integrated Circuits by Identifying Possible Critical Paths. Microelectronics Reliability 54 (6-7), 2014, 1075-1082 more… BibTeX
  • Kleeberger, Veit B.; Weis, Christian; Schlichtmann, Ulf; Wehn, Norbert: Circuit Resilience Roadmap – 7. In: Ricardo Reis, Yu Cao,; Wirth, Gilson (Ed.): Circuit Design for Reliability. Springer (first. edn.), 2014 more… BibTeX
  • Oetjens, J. H.; Bannow, N.; Becker, M.; Bringmann, Oliver; Burger, A.; Chaari, Moomen; Chakraborty, Samarjit; Drechsler, Rolf; Ecker, Wolfgang; Gr, K.; Kruse, Th.; Kuznik, C.; Le, H. M.; Mauderer, A.; M, W.; Mueller-Gritschneder, Daniel; Poppen, F.; Post, H.; Reiter, S.; Rosenstiel, Wolfgang; Roth, S.; Schlichtmann, Ulf; Schwerin, A. von; Tabacaru, B. A.; Viehl, Alexander: Safety Evaluation of Automotive Electronics Using Virtual Prototypes: State of the Art and Research Challenges. Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference (DAC '14), ACM, 2014 more… BibTeX
  • Todorov, Vladimir; Mueller-Gritschneder, Daniel; Reinig, Helmut; Schlichtmann, Ulf: Deterministic Synthesis of Hybrid Application-Specific Network-on-Chip Topologies. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 33 (10), 2014, 1503-1516 more… BibTeX
  • Barke, Martin; Kleeberger, Veit B.; Werner, Christoph; Schmitt-Landsiedel, Doris; Schlichtmann, Ulf: Analysis of Aging Mitigation Techniques for Digital Circuits Considering Recovery Effects. edaWorkshop, 2013 more… BibTeX
  • Boos, Anja; Ramini, Luca; Schlichtmann, Ulf; Bertozzi, Davide: PROTON: An Automatic Place-and-Route Tool for Optical Networks-on-Chip. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013 more… BibTeX
  • Glocker, Elisabeth; Boppu, Srinivas; Chen, Qingqing; Schlichtmann, Ulf; Teich, Jürgen; Schmitt-Landsiedel, Doris: Temperature Modeling and Emulation of an ASIC Temperature Monitor System for Tightly-Coupled Processor Arrays (TCPAs). Advances in Radio Science, 2013 more… BibTeX
  • Georgakos, Georg; Schlichtmann, Ulf; Schneider, Reinhard; Chakraborty, Samarjit: Reliability Challenges for Electric Vehicles: From Devices to Architecture and Systems Software. ACM/IEEE Design Automation Conference (DAC), 2013 more… BibTeX
  • Herkersdorf, Andreas; Engel, Michael; Glaß, Michael; Henkel, Jörg; Kleeberger, Veit B.; Kochte, Michael A.; Kühn, Johannes M.; Nassif, Sani R.; Rauchfuss, Holm; Rosenstiel, Wolfgang; Schlichtmann, Ulf; Shafique, Muhammad; Tahoori, Mehdi B.; Teich, Jürgen; Wehn, Norbert; Weis, Christian; Wunderlich, Hans-Joachim: Cross-Layer Dependability Modeling and Abstraction in Systems on Chip. Workshop on Silicon Errors in Logic - System Effects (SELSE), 2013 more… BibTeX
  • Kleeberger, Veit B.; Graeb, Helmut; Schlichtmann, Ulf: Predicting Future Product Performance: Modeling and Evaluation of Standard Cells in FinFET Technologies. ACM/IEEE Design Automation Conference (DAC), 2013 more… BibTeX
  • Kleeberger, Veit B.; Graeb, Helmut; Schlichtmann, Ulf: Modellierung und Evaluierung von Standardzellen in FinFET-Technologie. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, 2013 more… BibTeX
  • Kleeberger, Veit B.; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Technology-Aware System Failure Analysis in the Presence of Soft Errors by Mixture Importance Sampling. IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Memory Access Reconstruction Based on Memory Allocation Mechanism for Source-Level Simulation of Embedded Software. IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2013 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Fast Cache Simulation for Host-Compiled Simulation of Embedded Software. Design, Automation and Test in Europe (DATE), 2013 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Analytical Timing Estimation for Temporally Decoupled TLMs Considering Resource Conflicts. Design, Automation and Test in Europe (DATE), 2013 more… BibTeX
  • Mueller-Gritschneder, Daniel; Lu, Kun; Wallander, Erik; Greim, Marc; Schlichtmann, Ulf: A Virtual Prototyping Platform for Real-time Systems with a Case Study for a Two-wheeled Robot. Design, Automation and Test in Europe (DATE), 2013 more… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Iterative Refinement of Dense Meander Segments in High-speed Printed Circuit Boards. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, 2013 more… BibTeX
  • Todorov, Vladimir; Mueller-Gritschneder, Daniel; Reinig, Helmut; Schlichtmann, Ulf: A Spectral Clustering Approach to Application-Specific Network-on-Chip Synthesis. Design, Automation and Test in Europe (DATE), 2013 more… BibTeX
  • Uphoff, Carsten; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Application of Dempster-Shafer Theory to Task Mapping under Epistemic Uncertainty. 2013 IEEE International Systems Conference, 2013 more… BibTeX
  • Verma, Amit; Multani, Pritpal; Mueller-Gritschneder, Daniel; Todorov, Vladimir; Schlichtmann, Ulf: A Greedy Approach for Latency-bounded Deadlock-free Routing Path Allocation for Application-specific NoCs. International Symposium on Networks-on-Chip (NOCS), 2013 more… BibTeX
  • Kleeberger, Veit B.; Maier, Petra; Schlichtmann, Ulf: Ein stochastisches Modell zur Beschreibung von Signalen in digitalen Schaltungen basierend auf quadratischer Optimierung. Advances in Radio Science 11, 2013 more… BibTeX
  • Lange, André; Jancke, Roland; Haase, Joachim; Lorenz, Ingolf; Schlichtmann, Ulf: Probabilistic Standard Cell Modeling Considering Non-Gaussian Parameters and Correlations. ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2013to appear more… BibTeX
  • Kleeberger, Veit B.; Gimmler-Dumont, Christina; Weis, Christian; Herkersdorf, Andreas; Mueller-Gritschneder, Daniel; Nassif, Sani R.; Schlichtmann, Ulf; Wehn, Norbert: A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience. IEEE Micro 33 (4), 2013 more… BibTeX
  • Li, Bing; Chen, Ning; Xu, Yang; Schlichtmann, Ulf: On Timing Model Extraction and Hierarchical Statistical Timing Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32 (3), 2013, 367-380 more… BibTeX
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Refinement for High-Frequency PCBs Considering Meander Segment Alleviation. ACM Great Lake Symposium on VLSI (GLSVLSI), 2013 more… BibTeX Full text (mediaTUM)
  • Tseng, Tsun-Ming; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Post-Route Alleviation of Dense Meander Segments in High-Performance Printed Circuit Boards. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2013 more… BibTeX Full text (mediaTUM)
  • Barke, Martin; Lorenz, Dominik; Schlichtmann, Ulf: Robustheitsvalidierung digitaler Schaltungen und Systeme mittels effizienter Alterungsanalyse. edaWorkshop, 2012 more… BibTeX
  • Barke, Martin; Kärgel, Michael; Lu, Weiyun; Salfelder, Felix; Hedrich, Lars; Olbrich, Markus; Radetzki, Martin; Schlichtmann, Ulf: Robustness Validation of Integrated Circuits and Systems. Asia Symposium on Quality Electronic Design (ASQED), 2012 more… BibTeX
  • Chen, Qingqing; Csaba, György; Lugli, Paolo; Schlichtmann, Ulf; Rührmair, Ulrich: Characterization of the Bistable Ring PUF. Design, Automation and Test in Europe (DATE), 2012 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Iterative Timing Analysis Based on Nonlinear and Interdependent Flipflop Modelling. IET Circuits, Devices & Systems, 2012 more… BibTeX
  • Knoth, Christoph; Jedda, Hela; Schlichtmann, Ulf: Current Source Modeling for Power and Timing Analysis at Different Supply Voltages. Design, Automation and Test in Europe (DATE), 2012 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Accurately Timed Transaction Level Models for Virtual Prototyping at High Abstraction Level. Design, Automation and Test in Europe (DATE), 2012 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Hierarchical Control Flow Matching for Source-level Simulation of Embedded Software. IEEE International Symposium on System-on-Chip, 2012 more… BibTeX
  • Masrur, Alejandro; Kindt, Philipp; Becker, Martin; Chakraborty, Samarjit; Kleeberger, Veit B.; Barke, Martin; Schlichtmann, Ulf: Schedulability Analysis for Processors with Aging-Aware Autonomic Frequency Scaling. International Conference on Embedded and Real-Time Computing Systems and Applications, 2012 more… BibTeX
  • Nassif, Sani R.; Kleeberger, Veit B.; Schlichtmann, Ulf: Goldilocks failures: not too soft, not too hard. IEEE International Reliability Physics Symposium (IRPS), 2012 more… BibTeX
  • Todorov, Vladimir; Mueller-Gritschneder, Daniel; Reinig, Helmut; Schlichtmann, Ulf: Automated Construction of a Cycle-Approximate Transaction Level Model of a Memory Controller. Design, Automation and Test in Europe (DATE), 2012 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Mathematical Modeling of Process Variations – 3.3. In: Dietrich, Manfred; Haase, Joachim (Ed.): Process Variations and Probabilistic Integrated Circuit Design. Springer, 2012, 81-88 more… BibTeX
  • Li, Bing; Schlichtmann, Ulf: Statistical Static Timing Analysis – 4.3. In: Dietrich, Manfred; Haase, Joachim (Ed.): Process Variations and Probabilistic Integrated Circuit Design. Springer, 2012, 117-126 more… BibTeX
  • Lorenz, Dominik; Barke, Martin; Schlichtmann, Ulf: Efficiently analyzing the impact of aging effects on large integrated circuits. Microelectronics Reliability 52 (8), 2012, 1546-1552 more… BibTeX
  • Todorov, Vladimir; Ghiribaldi, Alberto; Reinig, Helmut; Bertozzi, Davide; Schlichtmann, Ulf: Non-intrusive trace & debug noc architecture with accurate timestamping for GALS SoCs. International Conference on Hardware/Software Co-design and System Synthesis (CODES+ISSS), ACM, 2012 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Statistical Timing Analysis for Latch-Controlled Circuits With Reduced Iterations and Graph Transformations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31 (11), 2012, 1670-1683 more… BibTeX
  • Knoth, Christoph; Schlichtmann, Ulf: Characterization of Standard Cells – 4.1. In: Dietrich, Manfred; Haase, Joachim (Ed.): Process Variations and Probabilistic Integrated Circuit Design. Springer (first. edn.), 2012, 93-106 more… BibTeX
  • Chen, Qingqing; Csaba, György; Lugli, Paolo; Schlichtmann, Ulf; Rührmair, Ulrich: The Bistable Ring PUF: A New Architecture for Strong Physical Unclonable Functions. IEEE Int. Symposium on Hardware-Oriented Security and Trust, 2011 more… BibTeX
  • Kleeberger, Veit Benedikt; Kiesel, Sebastian; Schlichtmann, Ulf; Chakraborty, Samarjit: Program-Aware Circuit Level Timing Analysis. International Symposium on Integrated Circuits (ISIC), 2011 more… BibTeX
  • Kleeberger, Veit Benedikt; Schlichtmann, Ulf: Zuverlässigkeit digitaler Schaltungen unter Einfluss von intrinsischem Rauschen. Advances in Radio Science 9, 2011 more… BibTeX
  • Kleeberger, Veit Benedikt; Schlichtmann, Ulf: Reliability Analysis of Digital Circuits Considering Intrinsic Noise. Asia Symposium on Quality Electronic Design (ASQED), 2011 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Ecker, Wolfgang; Esen, Volkan; Velten, Michael; Schlichtmann, Ulf: An Approach toward Accurately Timed TLM+ for Embedded System Models. edaWorkshop, 2011 more… BibTeX
  • Lu, Kun; Mueller-Gritschneder, Daniel; Schlichtmann, Ulf: Removal of Unnecessary Context Switches from the SystemC Simulation Kernel for Fast VP Simulation. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2011 more… BibTeX
  • Mueller-Gritschneder, Daniel; Lu, Kun; Schlichtmann, Ulf: Control-flow-driven Source Level Timing Annotation for Embedded Software Models on Transaction Level. EUROMICRO Conference on Digital System Design (DSD), 2011 more… BibTeX
  • Henkel, Jörg; Bauer, Lars; Becker, Joachim; Bringmann, Oliver; Brinkschulte, Uwe; Chakraborty, Samarjit; Engel, Michael; Ernst, Rolf; Härtig, Hermann; Hedrich, Lars; Herkersdorf, Andreas; Kapitza, Rüdiger; Lohmann, Daniel; Marwedel, Peter; Platzner, Marco; Rosenstiel, Wolfgang; Schlichtmann, Ulf; Spinczyk, Olaf; Tahoori, Mehdi; Teich, Jürgen; Wehn, Norbert; Wunderlich, Hans Joachim: Design and Architectures for Dependable Embedded Systems. International Conference on Hardware/Software Co-design and System Synthesis (CODES+ISSS), 2011 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Fast Statistical Timing Analysis for Circuits with Post-Silicon Tunable Clock Buffers. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011 more… BibTeX
  • Knoth, Christoph; Uphoff, Carsten; Kiesel, Sebastian; Schlichtmann, Ulf: SWAT: Simulator for Waveform-Accurate Timing including Parameter Variations and Transistor Aging. Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation (PATMOS), Springer, 2011 more… BibTeX
  • Chen, Qingqing; Csaba, György; Lugli, Paolo; Schlichtmann, Ulf; Stutzmann, Martin; Rührmair, Ulrich: Circuit-Based Approaches to SIMPL Systems. Journal of Circuits, Systems, and Computers 20 (1), 2011, 1-17 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Timing Modeling of Flipflops Considering Aging Effects. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Lecture Notes in Computer Science), 2011 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Iterative Timing Analysis Considering Interdependency of Setup and Hold Times. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (Lecture Notes in Computer Science), 2011 more… BibTeX
  • Eick, Michael; Strasser, Martin; Lu, Kun; Schlichtmann, Ulf; Graeb, Helmut: Comprehensive Generation of Hierarchical Placement Rules for Analog Integrated Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30 (2), 2011, 180-193 more… BibTeX
  • Strasser, Martin; Eick, Michael; Graeb, Helmut; Schlichtmann, Ulf: Deterministic Analog Placement by Enhanced Shape Functions – 3. In: Graeb, Helmut (Ed.): Analog Layout Synthesis. Springer, 2011, 95--145 more… BibTeX
  • Csaba, Gyorgy; Ju, Xueming; Ma, Zhiqian; Chen, Qingqing; Porod, Wolfgang; Schmidhuber, Jürgen; Schlichtmann, Ulf; Lugli, Paolo; Rührmair, Ulrich: Application of mismatched cellular nonlinear networks for physical cryptography. IEEE International Workshop on Cellular Nanoscale Networks and their Applications, 2010 more… BibTeX
  • Lorenz, Dominik; Barke, Martin; Mueller-Gritschneder, Daniel; Georgakos, Georg; Schlichtmann, Ulf: Aging model for timing analysis at register-transfer-level. ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2010 more… BibTeX
  • Strasser, Martin; Graeb, Helmut; Schlichtmann, Ulf: Plantage+, Fully Automated, Industrial Level Analog Layout Tool. Design, Automation and Test in Europe (DATE) University Booth, 2010 more… BibTeX
  • Eick, Michael; Strasser, Martin; Graeb, Helmut; Schlichtmann, Ulf: Automatic Generation of Hierarchical Placement Rules for Analog Integrated Circuits. ACM/SIGDA International Symposium on Physical Design (ISPD), 2010, 47-54 more… BibTeX
  • Knoth, Christoph; Eichwald, Irina; Nordholz, Petra; Schlichtmann, Ulf: White-Box Current Source Modeling Including Parameter Variation and Its Application in Timing Simulation. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2010, 200-210 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Fast Statistical Timing Analysis of Latch-Controlled Circuits for Arbitrary Clock Periods. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010, 524-531 more… BibTeX
  • Lorenz, Dominik; Barke, Martin; Schlichtmann, Ulf: Aging analysis at gate and macro cell level. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010, 77--84 more… BibTeX
  • Lorenz, Dominik; Barke, Martin; Schlichtmann, Ulf: Timing-Modell für Makrozellen zur Alterungsanalyse. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, 2010, 41--47 more… BibTeX
  • Strasser, Martin; Eick, Michael; Graeb, Helmut; Schlichtmann, Ulf: Zur effizienten Berücksichtigung von Mindestabständen bei analogen Platzierverfahren. ITG/GMM-Fachtagung Entwurf von analogen Schaltungen mit CAE-Methoden (ANALOG), 2010, 123-128 more… BibTeX
  • Rührmair, Ulrich; Chen, Qingqing; Stutzmann, Martin; Lugli, Paolo; Schlichtmann, Ulf; Csaba, György: Towards Electrical, Integrated Implementations of SIMPL Systems. Workshop in Information Security Theory and Practices (WISTP) (Lecture Notes in Computer Science), 2010, 277--292 more… BibTeX
  • Lorenz, Dominik; Georgakos, Georg; Schlichtmann, Ulf: Aging-aware Timing Analysis of Combinatorial Circuits on Gate Level. it - Information Technology 4, 2010 more… BibTeX
  • Radetzki, Martin; Bringmann, Oliver; Nebel, Wolfgang; Olbrich, Markus; Salfelder, Felix; Schlichtmann, Ulf: Robustheit nanoelektronischer Schaltungen und Systeme. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, Zuverlässigkeit und Entwurf, 4. GMM/GI/ITG-Fachtagung, Wildbad Kreuth, 2010 more… BibTeX
  • Strasser, Martin; Eick, Michael; Graeb, Helmut; Schlichtmann, Ulf: Deterministic Analog Placement by Enhanced Shape Functions – 3. In: Graeb, Helmut (Ed.): Analog Layout Synthesis. Springer, 2010, 95-146 more… BibTeX
  • Avci, Engin; Strasser, Martin; Graeb, Helmut; Schlichtmann, Ulf: A Free-Shape Router for Analog and RF Applications. European Conference on Circuit Theory and Design (ECCTD), 2009 more… BibTeX
  • Chen, Qingqing; Csaba, György; Ju, Xueming; Natarajan, Srinivas Bangalore; Lugli, Paolo; Stutzmann, Martin; Schlichtmann, Ulf; Rührmair, Ulrich: Analog Circuits for Physical Cryptography. International Symposium on Integrated Circuits (ISIC), 2009 more… BibTeX
  • Chen, Ning; Li, Bing; Schlichtmann, Ulf: Sensitivity Based Parameter Reduction for Statistical Analysis of Circuit Performance. IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2009 more… BibTeX
  • Knoth, Christoph; Kleeberger, Veit Benedikt; Nordholz, Petra; Schlichtmann, Ulf: Characterization and Implementation of Nonlinear Logic Cell Models for Analog Circuit Simulation. International Symposium on Integrated Circuits (ISIC), 2009 more… BibTeX
  • Li, Bing; Chen, Ning; Schmidt, Manuel; Schneider, Walter; Schlichtmann, Ulf: On Hierarchical Statistical Static Timing Analysis. Design, Automation and Test in Europe (DATE), 2009 more… BibTeX
  • Knoth, Christoph; Kleeberger, Veit Benedikt; Nordholz, Petra; Schlichtmann, Ulf: Fast and Waveform Independent Characterization of Current Source Models. IEEE/VIUF International Workshop on Behavioral Modeling and Simulation (BMAS), 2009, 90-95 more… BibTeX
  • Li, Bing; Chen, Ning; Schlichtmann, Ulf: Timing Model Extraction for Sequential Circuits Considering Process Variations. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2009, 336-343 more… BibTeX
  • Strasser, Martin; Graeb, Helmut; Schlichtmann, Ulf: Plantage - A Deterministic Analog Placement Approach. Design, Automation and Test in Europe (DATE), 2009University Booth Handout more… BibTeX
  • Eick, Michael; Strasser, Martin; Graeb, Helmut; Schlichtmann, Ulf: Automatische Generierung hierarchischer Platzierungsregeln für analoge integrierte Schaltungen. GMM/GI/ITG-Fachtagung Zuverlässigkeit und Entwurf, VDE Verlag GMBH, 2009, 107-114 more… BibTeX
  • Knoth, Christoph; Kleeberger, Veit Benedikt; Chen, Ning; Nordholz, Petra; Schlichtmann, Ulf: Waveform-based Timing Analysis for Digital Circuits using Current Source Models and Model Order Reduction. edaWorkshop, VDE Verlag, 2009, 19-24 more… BibTeX
  • Mueller-Gritschneder, Daniel; Graeb, Helmut; Schlichtmann, Ulf: A Successive Approach to Compute the Bounded Pareto Front of Practical Multi-objective Optimization Problems. SIAM Journal on optimization 20 (2), 2009, 915--934 more… BibTeX
  • Lorenz, D.; Georgakos, G.; Schlichtmann, U.: Aging analysis of circuit timing considering NBTI and HCI. On-Line Testing Symposium, 2009. IOLTS 2009. 15th IEEE International, 2009 more… BibTeX Full text ( DOI ) Full text (mediaTUM) WWW
  • Lorenz, Dominik; Georgakos, Georg; Schlichtmann, Ulf: Alterungsanalyse digitaler Schaltungen auf Gatterebene. Zuverlässigkeit und Entwurf: 3.GMM/GI/ITG-Fachtagung, VDE Verlag GMBH, 2009 more… BibTeX Full text (mediaTUM) WWW
  • Strasser, Martin; Eick, Michael; Gräb, Helmut; Schlichtmann, Ulf; Johannes, Frank M.: Deterministic Analog Circuit Placement using Hierarchically Bounded Enumeration and Enhanced Shape Functions. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2008 more… BibTeXWWW
  • Graeb, Helmut; Mueller, Daniel; Schlichtmann, Ulf: Pareto-Optimierung analoger Schaltungen mit Parametertoleranzen. ITG/GMM-Fachtagung Entwurf von analogen Schaltungen mit CAE-Methoden (ANALOG), 2008, 2008 more… BibTeXWWW
  • Schmidt, Manuel; Kinzelbach, Harald; Schlichtmann, Ulf: Variational Waveform Propagation for Accurate Statistical Timing Analysis. ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2008 more… BibTeXWWW
  • Schmidt, Manuel; Kinzelbach, Harald; Schlichtmann, Ulf: Genauere Laufzeitanalyse digitaler Schaltungen durch Berücksichtigung statistischer Schwankungen der Signalformen. edaWorkshop, 2008 more… BibTeXWWW
  • Spindler, Peter; Schlichtmann, Ulf; Johannes, Frank M.: Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement. ACM/SIGDA International Symposium on Physical Design (ISPD), 2008 more… BibTeXWWW
  • Spindler, Peter; Schlichtmann, Ulf; Johannes, Frank M.: Kraftwerk2 - A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2008 more… BibTeXWWW
  • Li, Bing; Knoth, Christoph; Schmidt, Manuel; Schneider, Walter; Schlichtmann, Ulf: Static Timing Model Extraction for Combinational Circuits. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Springer, 2008 more… BibTeXWWW
  • Strasser, Martin; Eick, Michael; Gräb, Helmut; Johannes, Frank M.; Schlichtmann, Ulf: Ein hierarchisches Platzierungsverfahren für analoge Schaltungen. edaWorkshop, edaCentrum, 2008 more… BibTeXWWW
  • Schneider, Walter; Schmidt, Manuel; Li, Bing; Schlichtmann, Ulf: A New Bounding Technique for Handling Arbitrary Correlations in Path-Based SSTA. International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Springer, 2008 more… BibTeXWWW
  • Knoth, C.; Kleeberger, V.; Schmidt M.; Li, B.; Schlichtmann, U.;: Transfer System Models of Logic Gates for Waveform-based Timing Analysis. Proceedings SM^2ACD'08, 2008, 247-252 more… BibTeX Full text (mediaTUM) WWW
  • Pehl, M.; Massier, T.; Graeb, H.; Schlichtmann, U.: Optimierung analoger Schaltungen mit geordneten diskret veränderlichen Parametern. 10. GMM/ITG-Fachtagung Analog, 2008April 2008, Siegen more… BibTeX Full text (mediaTUM) WWW
  • Massier, Tobias; Graeb, Helmut; Schlichtmann, Ulf: The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems 27 (12), 2008, 2209-2222 more… BibTeX Full text ( DOI ) Full text (mediaTUM)
  • Massier, T.; Graeb, H.; Schlichtmann, U.: Sizing Rules for Bipolar Analog Circuit Design. Design, Automation and Test in Europe, 2008Munich, 11th March 2008, 6 more… BibTeX Full text (mediaTUM) WWW
  • Pehl, M.; Massier, T.; Graeb, H.; Schlichtmann, U.: A Random and Pseudo-Gradient Approach for Analog Circuit Sizing with Non-Uniformly Discretized Parameters. International Conference on Computer Design 2008 (ICCD 2008), IEEE, 2008OCTOBER 12-15,2008;Resort at Squaw Creek, Lake Tahoe CA, 188-193 more… BibTeX Full text (mediaTUM) WWW
  • Mueller, D.; Graeb, H.; Schlichtmann, U.: Trade-off design of analog circuits using goal attainment and "wave front" sequential quadratic programming. Design, Automation & Test in Europe Conference & Exhibition, 2007, DATE '07, 2007Nice, 16-20 April 2007, 6 pages more… BibTeXWWW