M.Sc. Simon Thomann

List of Publications (since 2023)

Full list: https://scholar.google.de/citations?user=BJ8tYi0AAAAJ&hl=de

2024

  • Mayahinia, Mahta; Thomann, Simon; Genssler, Paul R.; Münch, Christopher; Amrouch, Hussam; Mehdi B., Tahoori: Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
  • Mayahinia, Mahta; Thomann, Simon; Genssler, Paul R.; Münch, Christopher; Amrouch, Hussam; Mehdi B., Tahoori: Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…

2023

  • Genssler, Paul R.; Thomann, Simon; Amrouch, Hussam: Tutorial: The Synergy of Hyperdimensional and In-memory Computing. International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ), 2023 more…
  • Kumar, Shubham; Chatterjee, Swetaki; Thomann, Simon; Chauhan, Yogesh Singh; Amrouch, Hussam: Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 70 (7), 2023, 2891-2903 more… Full text ( DOI )
  • Kumar, Shubham; Thomann, Simon; Prakash, Om; Ni, Kai; Chauhan, Yogesh; Amrouch, Hussam: Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-6 more… Full text ( DOI )
  • Liu, Liu; Kumar, Shubham; Thomann, Simon; Amrouch, Hussam; Hu, Xiaobo: Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. Proceedings of the 60th Annual Design Automation Conference (DAC), 2023 more… Full text ( DOI )
  • Mema, Albi; Thomann, Simon; Genssler, Paul; Amrouch, Hussam: FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-10 more… Full text ( DOI )
  • Novkin, Rodion; Thomann, Simon; Amrouch, Hussam: ML-TCAD: Perspectives and Challenges on Accelerating Transistor Modeling using ML. ACM/IEEE 5th Workshop on Machine Learning for CAD (MLCAD), 2023 more… Full text ( DOI )
  • Parihar, Shivendra Singh; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh Singh; Amrouch, Hussam: 5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing. 2023 Device Research Conference (DRC), 2023 more… Full text ( DOI )
  • Parihar, Shivendra; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh; Amrouch, Hussam: Cryogenic In-Memory Computing for Quantum Processors Using Commercial 5nm FinFETs. IEEE Open Journal of Circuits and Systems (OJCAS) PP, 2023, 1-1 more… Full text ( DOI )
  • Parihar, Shivendra; van Santen, Victor; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh; Amrouch, Hussam: Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
  • Thapar, Dhruv; Thomann, Simon; Arjun, Chaudhuri; Amrouch, Hussam; Chakrabarty, Krishnendu: Analysis and Characterization of Defects in FeFETs. IEEE International Test Conference (ITC), 2023 more…
  • Thomann, Simon; Amrouch, Hussam: FeFET Reliability Modeling for In-Memory Computing: Challenges, Perspective, and Emerging Trends. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-7 more… Full text ( DOI )
  • Thomann, Simon; Genssler, Paul R.; Amrouch, Hussam: HW/SW Co-Design for Reliable TCAM- Based In-Memory Brain-Inspired Hyperdimensional Computing. IEEE Transactions on Computers (TC) 72 (8), 2023, 2404–2417 more… Full text ( DOI )
  • Thomann, Simon; Mema, Albi; Ni, Kai; Amrouch, Hussam: Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
  • Thomann, Simon; Novkin, Rodion; Li, Jiajie; Hu, Yuting; Xiong, Jinjun; Amrouch, Hussam: Accelerating FeFET Reliability Analysis using Machine Learning. IEEE Transactions on Electron Devices (TED), 2023 more…
  • de Abreu, Brunno Alves; Mema, Albi; Thomann, Simon; Paim, Guilherme; Flores, Paulo; Bampi, Sergio; Amrouch, Hussam: Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 13 (1), 2023, 86-95 more… Full text ( DOI )