Publication Overview of Prof. H. Amrouch

The full list of publications is available under Google Scholar
 

Recent Publications

  • T. Soliman, S. Chatterjee, N. Laleni, F. Müller, T. Kirchner, N.Wehn, T. Kämpfe, Y. S. Chauhan, and H. Amrouch, “First Demonstration of In-Memory Computing Crossbar using Multi-Level Cell FeFET,” Nature Communications, 2023 (click here)
     
  • S. Chatterjee1, S. Kumar, A. Sunil, S. De, D. Lehninger, M. Jank, T. K¨ampfe, Y. S. Chauhan, and H. Amrouch, “Defying Temperature: Reliable Compute-in-Memory inMonolithic 3D using BEOL Ferroelectric TFT,” in 69th Annual IEEE International Electron Devices Meeting (IEDM), December, 2023.
     
  • S. Kumar, S. Thomann, O. Prakash, K. Ni, Y. S. Chauhan, and H. Amrouch, “Comprehensive Modeling of Switching Behaviour in BEOL FeFET for Monolithic 3D Integration,” IEEE Transactions on Electron Devices (TED), 2023 (click here). 
     
  • Y. Hu, J. Li, F. Klemme, G.-J. Nam, T. Ma, H. Amrouch, and J. Xiong, “Synctree: Fast timing analysis for Integrated Circuit Design through a Physics-Informed Tree-based Graph Neural Network,” in Thirty-seventh Conference on Neural Information Processing Systems (NeurIPS), December, 2023 (click here). 
     
  • S. Parihar, G. Pawha, Y. Chauhan. S, and H. Amrouch, “Impact of Self-Heating in 5 nm FinFETs at Cryogenic Temperatures for Reliable Quantum Computing: Device-Circuit Interaction,” in Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS), April, 2024.

List of Publications since Joining TUM in 2023

2024

  • Amrouch, Hussam; van Santan, Victor; Diaz Fortuny, Javier; Klemme, Florian: Machine Learning Unleashes Aging and Self-Heating Effects: From Transistors to Full Processor. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
  • Chatterjee, Swetaki; Baruah, Nistha; Deshwal, Swati; Kar, Anirban; Singh Parihar, Shivendra; Singh Chauhan, Yogesh; Amrouch, Hussam: Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
  • Errahmouni Barkam, Hamza; Yun, Sanggeon; Genssler, Paul R.; Zou, Zhuowen; Liu, Che-Kai; Amrouch, Hussam; Imani, Mohsen: In-Memory Acceleration of Hyperdimensional Genome Matching on Unreliable Emerging Technologies. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), 2024 more…
  • Genssler, Paul; Alrahis, Lilas; Sinanoglu, Ozgur; Amrouch, Hussam: HDCircuit: Brain-inspired Hyperdimensional Computing for Circuit Recognition. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
  • Kar, Anirban; Klemme, Florian; Chauhan. S, Yogesh; Amrouch, Hussam: On the Severity of Self-Heating in FDSOI at Cryogenic Temperatures: In-depth analysis from Transistors to Full Processor. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
  • Kumar, Shubham; Singh Chauhan, Yogesh; Amrouch, Hussam: Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
  • Mayahinia, Mahta; Thomann, Simon; Genssler, Paul R.; Münch, Christopher; Amrouch, Hussam; Mehdi B., Tahoori: Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
  • Mayahinia, Mahta; Thomann, Simon; Genssler, Paul R.; Münch, Christopher; Amrouch, Hussam; Mehdi B., Tahoori: Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…
  • Parihar, Shivendra; Pawha, Girish; Chauhan. S, Yogesh; Amrouch, Hussam: Impact of Self-Heating in 5 nm FinFETs at Cryogenic Temperatures for Reliable Quantum Computing: Device-Circuit Interaction. Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24), 2024 more…
  • Shahin, Sufia; Kumar, Shubham; Chatterjee, Swetaki; Amrouch, Hussam; Singh Chauhan, Yogesh: Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy. IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24), 2024 more…
  • Zhou, Yifei; Huang, Xuchu; Yang, Jianyi; Ni, Kai; Amrouch, Hussam; Zhuo, Cheng; Yin, Xunzhao: Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET. Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024 more…

2023

  • Abdulrahman, Maram; Wasif, Sandy; Wael, Miran; Azab, Eman; Abd El, Mohamed; Mashaly, Maggie; mohamed, Abdel Ghany; Amrouch, Hussam: A Review on Hyperdimensional Computing. Proceedings of the IEEE International Conference on Mechatronics (ICM), 2023 more…
  • Amrouch, Hussam; Genssler, Paul; Imani, Mohsen; Issa, Mariam; Jiao, Xun; Mohammad, Wegdan; Sepanta, Gloria; Wang, Ruixuan: Beyond von Neumann Era: Brain-Inspired Hyperdimensional Computing to the Rescue. 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023 more… Full text ( DOI )
  • Amrouch, Hussam; Klemme, Florian: ML to the Rescue: Reliability Estimation from Self-Heating and Aging in Transistors All the Way up Processors. 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023 more… Full text ( DOI )
  • Barkam, Hamza; Yun, Sanggeon; Genssler, Paul; Zou, Zhuowen; Liu, Che-Kai; Amrouch, Hussam; Imani, Mohsen: HDGIM: Hyperdimensional Genome Sequence Matching on Unreliable highly scaled FeFET. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
  • Chatterjee, Swetaki; Chauhan, Yogesh; Amrouch, Hussam: Programmable Delay Element using Dual-Port FeFET for Post-Silicon Clock Tuning. IEEE Electron Device Letters (EDL) PP, 2023, 1-1 more… Full text ( DOI )
  • Chatterjee1, Swetaki; Kumar, Shubham; Sunil, Athira; De, Sourav; Lehninger, David; Jank, Michael; Kämpfe, Thomas; S. Chauhan, Yogesh; Amrouch, Hussam: Defying Temperature: Reliable Compute-in-Memory in Monolithic 3D using BEOL Ferroelectric TFT. 69th Annual IEEE International Electron Devices Meeting (IEDM), 2023 more…
  • Dave, Abhilasha; Frustaci, Fabio; Spagnolo, Fanny; Yayla, Mikail; Chen, Jian-Jia; Amrouch, Hussam: HW/SW Codesign for Approximation-Aware Binary Neural Networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 13 (1), 2023, 33-47 more… Full text ( DOI )
  • Errahmouni Barkam, Hamza; Yun, Sanggeon; Chen, Hanning; Genssler, Paul; Mema, Albi; Ding, Andrew; Michelogiannakis, George; Amrouch, Hussam; Imani, Mohsen: Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies. IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD), 2023 more…
  • Genssler, Paul R.; Barkam, Hamza E.; Pandaram, Karthik; Imani, Mohsen; Amrouch, Hussam: Modeling and Predicting Transistor Aging Under Workload Dependency Using Machine Learning. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 70 (9), 2023, 3699-3711 more… Full text ( DOI )
  • Genssler, Paul R.; Klemme, Florian; Parihar, Shivendra Singh; Brandhofer, Sebastian; Pahwa, Girish; Polian, Ilia; Chauhan, Yogesh Singh; Amrouch, Hussam: Cryogenic Embedded System to Support Quantum Computing: From 5-nm FinFET to Full Processor. IEEE Transactions on Quantum Engineering (IQE) 4, 2023, 1-11 more… Full text ( DOI )
  • Genssler, Paul R.; Thomann, Simon; Amrouch, Hussam: Tutorial: The Synergy of Hyperdimensional and In-memory Computing. International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ), 2023 more…
  • Hu, Yuting; Li, Jiajie; Klemme, Florian; Nam, Gi-Joon; Ma, Tengfei; Amrouch, Hussam; Xiong, Jinjun: SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network. Thirty-seventh Conference on Neural Information Processing Systems (NeurIPS), 2023 more…
  • Jafarzadeh, Hanieh; Klemme, Florian; Dennis Reimer, Jan; Paria Najafi Haghi, Zahra; Amrouch, Hussam; Hellebrand, Sybille; Wunderlich, Hans-Joachim: Robust Pattern Generation for Small Delay Faults under Process Variations. IEEE International Test Conference (ITC), 2023 more…
  • Jiang, Zhouhang; Guo, Zixiang; Luo, Xuyi; Sayed, Munazza; Faris, Zubair; Mulaosmanovic, Halid; Dünkel, Stefan; Soss, Steven; Beyer, Sven; Gong, Xiao; Kurinec, Santosh; Narayanan, Vijaykrishnan; Amrouch, Hussam; Zhang, En; Fleetwood, Daniel; Schrimpf, Ronald; Ni, Kai: Evaluating the Robustness of Complementary Channel Ferroelectric FETs Against Total Ionizing Dose Towards Radiation-Tolerant Embedded Nonvolatile Memory. IEEE Electron Device Letters (EDL) PP, 2023, 1-1 more… Full text ( DOI )
  • Klemme, Florian; Al-Salamin, Sami; Amrouch, Hussam: Upheaving Self-Heating Effects from Transistor to Circuit Level using Conventional EDA Tool Flows. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
  • Klemme, Florian; Amrouch, Hussam: Transistor Self-Heating-Aware Synthesis for Reliable Digital Circuit Designs. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
  • Krautter, Jonas; Genssler, Paul; Sepanta, Gloria; Amrouch, Hussam: Stress-Resiliency of AI Implementations on FPGAs. 33rd International Conference on Field-Programmable Logic and Applications (FPL), 2023 more… Full text ( DOI )
  • Kumar, Shubham; Chatterjee, Swetaki; Dabhi, Chetan Kumar; Chauhan, Yogesh Singh; Amrouch, Hussam: Nontraditional Design of Dynamic Logics Using FDSOI for Ultra-Efficient Computing. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC) 9 (1), 2023, 74-82 more… Full text ( DOI )
  • Kumar, Shubham; Chatterjee, Swetaki; Thomann, Simon; Chauhan, Yogesh Singh; Amrouch, Hussam: Cross-Layer Reliability Modeling of Dual-Port FeFET: Device-Algorithm Interaction. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) 70 (7), 2023, 2891-2903 more… Full text ( DOI )
  • Kumar, Shubham; Chauhan S., Yogesh; Amrouch, Hussam: Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration. IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD), 2023 more…
  • Kumar, Shubham; Genssler, Paul R.; Mansour, Somaya; Chauhan. S, Yogesh; Amrouch, Hussam: Frontiers in AI Acceleration: From Brain-inspired Computing to Monolithic 3D Integration. 31th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2023 more…
  • Kumar, Shubham; Prakash, Om; Chauhan, Yogesh; Amrouch, Hussam: BEOL FeFET SPICE-Compatible Model for Benchmarking 3-D Monolithic In-Memory TCAM Computation. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-7 more… Full text ( DOI )
  • Kumar, Shubham; Thomann, Simon; Prakash, Om; Ni, Kai; Chauhan, Yogesh; Amrouch, Hussam: Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-6 more… Full text ( DOI )
  • Liu, Liu; Kumar, Shubham; Thomann, Simon; Amrouch, Hussam; Hu, Xiaobo: Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. Proceedings of the 60th Annual Design Automation Conference (DAC), 2023 more… Full text ( DOI )
  • Mema, Albi; Thomann, Simon; Genssler, Paul; Amrouch, Hussam: FDSOI-Based Analog Computing for Ultra-Efficient Hamming Distance Similarity Calculation. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-10 more… Full text ( DOI )
  • Moghaddas, Vahidreza; Kattan, Hammam; Bücher, Tim; Yayla, Mikail; Chen, Jian-Jia; Amrouch, Hussam: Temperature-Aware Memory Mapping and Active Cooling of Neural Processing Units. IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2023 more… Full text ( DOI )
  • Novkin, Rodion; Amrouch, Hussam; Klemme, Florian: Approximation-Aware and Quantization-Aware Training for Graph Neural Networks. IEEE Transactions on Computers (TC), 2023 more… Full text ( DOI )
  • Novkin, Rodion; Thomann, Simon; Amrouch, Hussam: ML-TCAD: Perspectives and Challenges on Accelerating Transistor Modeling using ML. ACM/IEEE 5th Workshop on Machine Learning for CAD (MLCAD), 2023 more… Full text ( DOI )
  • Parihar, Shivendra Singh; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh Singh; Amrouch, Hussam: 5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing. 2023 Device Research Conference (DRC), 2023 more… Full text ( DOI )
  • Parihar, Shivendra; Chatterjee, Swetaki; Pahwa, Girish; Chauhan, Yogesh; Amrouch, Hussam: Modeling and Benchmarking 5nm Ferroelectric FinFET from Room Temperature down to Cryogenic Temperatures. IEEE 23rd International Conference on Nanotechnology (NANO), 2023 more… Full text ( DOI )
  • Parihar, Shivendra; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh; Amrouch, Hussam: Cryogenic In-Memory Computing for Quantum Processors Using Commercial 5nm FinFETs. IEEE Open Journal of Circuits and Systems (OJCAS) PP, 2023, 1-1 more… Full text ( DOI )
  • Parihar, Shivendra; van Santen, Victor; Thomann, Simon; Pahwa, Girish; Chauhan, Yogesh; Amrouch, Hussam: Cryogenic CMOS for Quantum Processing: 5-nm FinFET-Based SRAM Arrays at 10 K. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
  • Prakash, Om; Ni, Kai; Amrouch, Hussam: Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
  • Prakash, Om; Novkin, Rodion; Surabhi, Virinchi Roy; Krishnamurthy, Prashanth; Karri, Ramesh; Khorrami, Farshad; Amrouch, Hussam: Comprehensive Reliability Analysis of 22nm FDSOI SRAM from Device Physics to Deep Learning. 2023 IEEE International Symposium on Circuits and Systems (ISCAS), 2023 more… Full text ( DOI )
  • Ranjbar, Behnaz; Klemme, Florian; Genssler, Paul R.; Amrouch, Hussam; Jung, Jinhyo; Dave, Shail; So, Hwisoo; Lee, Kyongwoo; Shrivastava, Aviral; Lin, Ji-Yung; Weckx, Pieter; Mishra, Subrat; Catthoor, Francky; Biswas, Dwaipayan; Kumar, Akash: Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level. 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2023 more… Full text ( DOI )
  • Ravipati, Divya Praneetha; van Santen, Victor M.; Salamin, Sami; Amrouch, Hussam; Panda, Preeti Ranjan: Performance and Energy Studies on NC-FinFET Cache-Based Systems With FN-McPAT. IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI) 31 (9), 2023, 1280-1293 more… Full text ( DOI )
  • Sachdeva, Sachin; Zhang, Jinwei; Amrouch, Hussam; Tan, Sheldon X.-D.: Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature. 2023 19th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), 2023 more… Full text ( DOI )
  • Sayed, Munazza; Ni, Kai; Amrouch, Hussam: Modeling and Investigating Total Ionizing Dose Impact on FeFET. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC) PP, 2023, 1-1 more… Full text ( DOI )
  • Soliman, Taha; Chatterjee, Swetaki; Laleni, Nellie; Müller, Franz; Kirchner, Tobias; Wehn, Norbert; Kämpfe, Thomas; Chauhan, Yogesh; Amrouch, Hussam: First demonstration of in-memory computing crossbar using multi-level Cell FeFET. Nature Communications 14, 2023 more… Full text ( DOI )
  • Surabhi, Virinchi Roy; Krishnamurthy, Prashanth; Amrouch, Hussam; Henkel, Jorg; Karri, Ramesh; Khorrami, Farshad: Golden-Free Robust Age Estimation to Triage Recycled ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) PP, 2023, 1-1 more… Full text ( DOI )
  • Thapar, Dhruv; Thomann, Simon; Arjun, Chaudhuri; Amrouch, Hussam; Chakrabarty, Krishnendu: Analysis and Characterization of Defects in FeFETs. IEEE International Test Conference (ITC), 2023 more…
  • Thomann, Simon; Amrouch, Hussam: FeFET Reliability Modeling for In-Memory Computing: Challenges, Perspective, and Emerging Trends. IEEE Transactions on Electron Devices (TED) PP, 2023, 1-7 more… Full text ( DOI )
  • Thomann, Simon; Genssler, Paul R.; Amrouch, Hussam: HW/SW Co-Design for Reliable TCAM- Based In-Memory Brain-Inspired Hyperdimensional Computing. IEEE Transactions on Computers (TC) 72 (8), 2023, 2404–2417 more… Full text ( DOI )
  • Thomann, Simon; Mema, Albi; Ni, Kai; Amrouch, Hussam: Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
  • Thomann, Simon; Novkin, Rodion; Li, Jiajie; Hu, Yuting; Xiong, Jinjun; Amrouch, Hussam: Accelerating FeFET Reliability Analysis using Machine Learning. IEEE Transactions on Electron Devices (TED), 2023 more…
  • Wei, Ming Liang; Yayla, Mikail; Ho, Shu-Yin; Chen, Jian-Jia; Amrouch, Hussam; Yang, Chia-Lin: Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing. IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) PP, 2023, 1-14 more… Full text ( DOI )
  • Yayla, Mikail; Frustaci, Fabio; Spagnolo, Fanny; Chen, Jian-Jia; Amrouch, Hussam: Unlocking Efficiency in BNNs: Global by Local Thresholding for Analog-based HW Accelerators. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) PP, 2023, 1-1 more… Full text ( DOI )
  • Yun, Sanggeon; Errahmouni Barkam, Hamza; Latapie, Hugo; Genssler, Paul R.; Amrouch, Hussam; Imani, Mohsen: Hyperdimensional Computing for Robust and Efficient Unsupervised Learning. 57th Asilomar Conference on Signals, Systems, and Computers, (Asilomar), 2023 more…
  • Zhao, Zijian; Deng, Shan; Chatterjee, Swetaki; Jiang, Zhouhang; Islam, Muhammad Shaffatul; Xiao, Yi; Xu, Yixin; Meninger, Scott; Mohamed, Mohamed; Joshi, Rajiv; Chauhan, Yogesh Singh; Mulaosmanovic, Halid; Duenkel, Stefan; Kleimaier, Dominik; Beyer, Sven; Amrouch, Hussam; Narayanan, Vijaykrishnan; Ni, Kai: Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET. ACS Applied Materials & Interfaces (ACS), 2023 more…
  • de Abreu, Brunno Alves; Mema, Albi; Thomann, Simon; Paim, Guilherme; Flores, Paulo; Bampi, Sergio; Amrouch, Hussam: Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology. IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 13 (1), 2023, 86-95 more… Full text ( DOI )
  • van Santen, Victor; Gata-Romero, Jose; Nunez, Juan; Castro-Lopez, Rafael; Roca, Elisenda; Amrouch, Hussam: Characterizing BTI and HCD in 1.2V 65nm CMOS Oscillators made from Combinational Standard Cells and Processor Logic Paths. 2023 IEEE International Reliability Physics Symposium (IRPS), 2023 more… Full text ( DOI )
  • van Santen, Victor; Klemme, Florian; Genssler, Paul; Amrouch, Hussam: Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits. IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2023 more… Full text ( DOI )
  • van Santen, Victor; Walter, Marcel; Klemme, Florian; Parihar, Shivendra; Pahwa, Girish; Chauhan, Yogesh; Wille, Robert; Amrouch, Hussam: Design Automation for Cryogenic CMOS Circuits. Proceedings of the 60th Annual Design Automation Conference (DAC), 2023 more… Full text ( DOI )